Você está na página 1de 16

186 IEEE TRANSACTIONS ON SOLID-STATE CIRCUITS, VOL. 35, NO.

2, FEBRUARY 2000

MOS Transistor Modeling for RF IC Design


Christian C. Enz, Member, IEEE, and Yuhua Cheng, Senior Member, IEEE

Abstract—This paper presents the basis of the modeling of the these models have to be enhanced in order to be used for RF
MOS transistor for circuit simulation at RF. A physical equivalent CMOS IC design. It can be shown that the strict minimum to
circuit that can easily be implemented as a Spice subcircuit is first improve the models used for RF circuit simulation is to add ter-
derived. The subcircuit includes a substrate network that accounts
for the signal coupling occurring at HF from the drain to the source minal resistances, mainly a gate resistance [21], [22]. However,
and the bulk. It is shown that the latter mainly affects the output this is not sufficient. At HF, the signal coupling through the
admittance 22 . The bias and geometry dependence of the subcir- substrate has to be accounted for by adding adequate substrate
cuit components, leading to a scalable model, are then discussed resistances [21], [23]–[32]. Also, nonquasi-static (NQS) effects
with emphasis on the substrate resistances. Analytical expressions have to be accounted for at least for the slower -channel
of the parameters are established and compared to measure-
ments made on a 0.25- m CMOS process. The parameters and device and/or for nonminimum channel length devices used for
transit frequency simulated with this scalable model versus fre- example in bias circuits [21], [36]–[51].1
quency, geometry, and bias are in good agreement with measured Section II presents the modeling of the MOS transistor at RF
data. The nonquasi-static effects and their practical implementa- with emphasis on the small-signal operation in strong inversion
tion in the Spice subcircuit are then briefly discussed. Finally, a new and in saturation. Simple expressions for the parameters are
thermal noise model is introduced. The parameters used to char-
acterize the noise at HF are then presented and the scalable model derived that are useful for direct parameter extraction and for
is favorably compared to measurements made on the same devices circuit analysis and optimization. Section III discusses the noise
used for the -parameter measurement. properties of the MOS at HF and gives simple expressions for
Index Terms—Modeling, MOS devices, MOSFET’s, RF CMOS the four noise parameters.
IC, semiconductor device modeling, semiconductor device noise,
simulation, SPICE.
II. MOS TRANSISTOR MODELING AT RF
I. INTRODUCTION A. MOST Equivalent Circuit at RF

T ODAY, deep-submicrometer CMOS processes typically


reach the 50-GHz region and offer low noise figures,
making them serious alternative for RF circuits integration
Although it is always possible to have a detailed equivalent
circuit that accounts for all the physical elements that are part
of the RF MOS transistor, it is often too complex to be imple-
[1]–[5]. In addition, CMOS offers very large scale integration mented as a compact model or a subcircuit for circuit simulation
(VLSI) capabilities allowing for a high level of integration. purposes. Moreover, many of the component values would be
The feasibility of RF CMOS circuits integration has been difficult or even impossible to extract and the subcircuit would
demonstrated with prototypes that used even older CMOS contain too many internal nodes which would significantly in-
processes [10]. There is no doubt that in the coming years new crease the simulation time. As is often the case in modeling,
wireless products will appear with CMOS as the technology a tradeoff has to be found between accuracy and efficiency. A
used for the integration of the RF portion [6]–[12]. good compromise is obtained when simplifying the complete
Nevertheless, the design of RF circuits for real products detailed equivalent circuit to the one presented in Fig. 1 [21],
remains a challenge due to the strong constraints on power [25]–[32], which from experience has shown to be sufficient for
consumption and noise that leave very little margins for the most RF circuit simulation. In order to implement this equiva-
RF IC designer. Therefore, it is crucial to be able to accurately lent circuit in a Spice simulator as a subcircuit (SUBCKT), all
predict the performance of the CMOS RF circuits in order to the extrinsic components have been pulled out of the MOS tran-
reduce design cycles and have first-time success. Although sistor compact model, so that the MOS transistor symbol only
good results can be obtained for lower frequency circuits represents the intrinsic part of the device. This allows to have
(typically below 100 MHz), the simulation of RF circuits in access to internal nodes and model extrinsic components such
the gigahertz frequency range with the available MOS compact as series resistances and overlap capacitances in a different way
models such as BSIM3v3 [13], [14], MOS Model 9 [15], than what is available in the compact model.
[16], or EKV [17]–[20] without consideration of the parasitic The source and drain series resistors have been added outside
components gives inaccurate or even wrong results. Therefore, the MOS model since the internal series resistances are only
“soft” resistances embedded in the expression used to calculate
Manuscript received April 2, 1999; revised October 19, 1999 the drain current to account for the dc voltage drop across the
C. Enz was with Conexant Systems, Inc., Newport Beach, CA 92660 USA.
He is now with the Swiss Center for Electronics and Microtechnology (CSEM) 1One may argue that bias circuits do not need to be simulated at RF, which
and with the Swiss Federal Institute of Technology, Lausanne (EPFL), Switzer- is partially true. However, sometimes dc control loops have to be checked for
land. stability and therefore require careful simulation at RF. Even open-loop bias
Y. Cheng is with the Conexant Systems Inc., Newport Beach, CA 92660 USA. circuits must be simulated at RF to check crosstalk between the circuits it biases
Publisher Item Identifier S 0018-9200(00)00936-7. to determine its output impedance, the PSRR at high frequencies, etc.

0018-9200/00$10.00 © 2000 IEEE


ENZ AND CHENG: MOS TRANSISTOR MODELING FOR RF IC DESIGN 187

Fig. 1. MOS transistor equivalent circuit.

source and drain resistances, but they do not add any poles and some point along the resistor, but simulations have shown
are therefore invisible for ac simulation. The gate resistance that connecting the intrinsic substrate to the source or the drain
is usually not part of the MOS compact model, but plays a side of have little influence on the parameters. There-
fundamental role in RF circuits and therefore has to be added fore, the intrinsic substrate has been connected to the source
to. The substrate resistors , , and have been added side of in order to save one node and one component. In
to account for the signal coupling through the substrate. This Fig. 1(b), two bias-dependent overlap capacitances and
effect will be discussed in more detail in Section II-E. The have been added. This is not always required, depending
source-to-bulk and drain-to-bulk diodes are also part of the on the compact model used. For example, BSIM3v3 accounts
compact model but their anodes are connected to the same for bias-dependent overlap capacitances that, if extracted
substrate node. The diodes internal to the compact model have correctly, have shown a sufficient accuracy. Pulling them out
therefore also been turned off and two external diodes and allows also one to correct for the inaccuracies of the intrinsic
have been added in order to account for the substrate resis- capacitances appearing for short-channel devices. The circuit
tance existing between the source and the drain diffusions. of Fig. 1(b) can then easily be implemented in Spice as a
Note that the intrinsic substrate node should be connected at subcircuit as shown in Fig. 1(c).
188 IEEE TRANSACTIONS ON SOLID-STATE CIRCUITS, VOL. 35, NO. 2, FEBRUARY 2000

Fig. 2. Quasi-static small-signal circuit of circuit shown in Fig. 1(b) valid in


saturation.

B. Small-Signal Circuit in Saturation


The small-signal equivalent circuit of Fig. 1(b) is shown in
Fig. 2 where it has been assumed that the MOS transistor oper-
ates in saturation and in quasi-static regime [21]. The voltage-
controlled current sources (VCCS) are defined as

(1)

for substrate-referenced models (such as EKV) or

(2) Fig. 3. Normalized intrinsic capacitances and transcapacitances.

for source-referenced models (such as BSIM3v3 or MOS model


and are the junction capacitances. Note that all these
9) with
capacitances (including the overlap capacitances) are bias-de-
(3) pendent [21]. The long-channel intrinsic capacitances normal-
ized to the total gate oxide capacitance
The slope factor2 used in (3) is equal to are plotted versus the gate overdrive voltage in
Fig. 3(a). is the number of fingers, and are the ef-
(4) fective width and length of a single finger, and is the oxide
which depends on the gate-to-bulk voltage and typically ranges capacitance per unit area. Capacitance corresponds to the
from 1.6 in weak inversion to 1.3 in strong inversion for an total intrinsic gate capacitance .
-channel transistor (1.4–1.2 for the channel) [18], [21]. The shape of the intrinsic capacitances versus bias are slightly
different for short-channel devices due mainly to polydepletion
C. Component Bias Dependence [21], [53] and short-channel effects [21], [54]. As an example,
The capacitances shown in Fig. 2 include both intrinsic and capacitance may typically look as shown by the dashed line
extrinsic parts in Fig. 3.
The gate and source transadmittances and are given
by

(6)

(5) where and are, respectively, the gate and source tran-
scapacitances [21]. According to (6), the transcapacitances add
where , and are the intrinsic capaci- a certain phase shift to the drain current variation that partly ac-
tances, , and are the overlap capacitances, and counts for the propagation delay along the channel. They can
0
2The slope factor is related to the slope of the log (I ) V characteristic be interpreted as a first-order approximation of the NQS effects
in weak inversion which is equal to 1=(nU ) where U = kT =q [18]. [21]. The long-channel transcapacitances are plotted versus the
ENZ AND CHENG: MOS TRANSISTOR MODELING FOR RF IC DESIGN 189

overdrive voltage in Fig. 3(b). It is interesting to note minal resistances are at a much higher frequency than typically
that, to first-order, the ratios between the transcapacitances and the transit frequency, so that they basically can be neglected
the related transconductances are equal when calculating the parameters and the related quantities.
Neglecting also the substrate resistances in the small-signal cir-
(7) cuit of Fig. 2 allows one to derive the following analytical ex-
pressions for the parameters:
so the transadmittances can be rewritten as

(8)

It is worth mentioning that any charge-based compact model,


such as BSIM3v3, MOS Model 9, or EKV, inherently have
transcapacitances and therefore transadmittances given by (8).
Although the phase of the transadmittances given by (8) are equal
to that of a first-order NQS model, their magnitude increases with
frequency instead of decreasing as it would in the first-order (or
higher order) NQS model. This difference has to be accounted
(9)
for when implementing an NQS model on top of a charge-based
model to avoid wrong magnitude andphase characteristics.
where it has also been assumed that . Equation (9)
The overlap capacitances are also bias-dependent due to the
has been verified experimentally in Fig. 4, which shows a com-
lightly-doped source and drain (LDD) regions [55]. The overlap
parison between the measured (after a two-step de-embedding
portion of the LDD regions behave similarly to a MOS capacitor
process), the simulated, and the analytical parameters for an
of the opposite type than the channel. Therefore, when the tran-
-channel transistor with , m,
sistor is biased in inversion, the overlap LDD regions may be in
m. The simulations have been performed with the complete
accumulation. Even though this bias dependence is accounted
quasi-static (QS) model of Fig. 1(b), with the EKV v2.6 compact
for in the BSIM3v3 compact model, it may be advantageous to
model. Fig. 4 shows that the analytical expressions match the
include it into the separate overlap capacitances appearing in the
measurements very well up to 10 GHz except for . This
subcircuit. By doing this, the capacitances and can
discrepancy is due to the substrate coupling effect discussed in
not only account for the bias-dependent overlap capacitances
the next section. Note that Fig. 4 also shows that there may be
but can also correct the intrinsic capacitance bias dependence for
a big discrepancy in if the transcapacitance in (9)
short-channel effects in the case they are not correctly accounted
is neglected.
for.3 Good results for the bias dependence of the overall gate-to-
Note that the simplified parameters given by (9) can be
source and gate-to-drain capacitances have been obtained by
used for a direct extraction of the RF model parameters from
using the simple empirical relation as described in [33]–[35].
measurements as presented in [30] and [31]. For example,
Note that all the terminal resistances as well as the substrate
can be extracted from and from .
resistances are also bias-dependent. The bias dependence of the
In the linear region, and ,
source and drain resistances is mostly due to the LDD regions.
whereas in saturation, and therefore
The gate resistance bias dependence results primarily from the
. The gate resistance can be extracted as
distributed nature of the channel resistance and the oxide capac-
. The extraction of the substrate resis-
itance [21], [32]. The substrate resistances may also be bias-de-
tances requires a more complicated procedure described in [30]
pendent due to the variations of the depletion regions below the
and [31].
gate and surrounding the source and drain diffusions. Although
they could be implemented in the subcircuit of Fig. 1, good re-
sults have been obtained without accounting for the bias depen- E. Substrate Coupling
dencies of these resistances. At high frequency, the signal at the drain couples to the nearby
source and the bulk terminals through the junction capacitance
D. -Parameter Analysis and the substrate resistances (cf. Fig. 1). As mentioned above
Since the capacitances are all approximately proportional to and observed in Fig. 4, this coupling mainly affects the output
the total gate width and since the terminal re- admittance . Fig. 5 shows the cross sections of multifinger
sistances are inversely proportional to , the time constant RF MOS transistors where only the most important substrate re-
due to the terminal resistances depend only on the gate length sistances have been drawn. In order to match the equivalent cir-
, the overlap length , or the diffusion width [31]. cuit shown in Fig. 1(b), equivalent capacitances and resistances
The latter dimensions are usually taken as minimum to achieve have to be calculated from the individual capacitances and resis-
the highest cutoff frequency. Therefore, the poles due to the ter- tances shown in Fig. 5. Since all the source (drain) diffusions are
connected together via metal layers (assumed to have negligible
3Strictly speaking, capacitances C and C in this paper should not be resistances compared to the substrate resistances), the junction
called overlap capacitances since they may account for part of the intrinsic ca-
pacitances that are not correctly modeled in the compact intrinsic model. capacitances and as well as the substrate resistances
190 IEEE TRANSACTIONS ON SOLID-STATE CIRCUITS, VOL. 35, NO. 2, FEBRUARY 2000

Fig. 4. Comparison between the measured, simulated, and analytical Y parameters (n-channel, N = 10, W = 12 m, L = 0:36 m, and V = V =1
V).

, , and are given by where and are the junction capacitances of


a single source and drain diffusion and is the
drain-to-source substrate sheet resistance which is typically
k . The calculation of the source-to-bulk and
drain-to-bulk substrate resistances needs to distinguish between
even and odd numbers of fingers, as shown in Fig. 5(a) and (b).
For a transistor with an even number of fingers,
and since the outer source diffusions are closer
to the bulk than the inner source diffusions and by symmetry
and , resulting in

and
(10)

where and are, respectively, the number of source and for even. (12)
drain diffusions. By symmetry, all the individual source (drain)
junction capacitances are equal to that of a single source (drain) For a transistor with an odd number of fingers, ,
diffusion .4 The same is valid , and which results in
for the individual drain-to-source substrate resistances ,
leading to for odd (13)

Asimplescalingrule canbederivedforbulksubstratesif itisas-


sumed that there are only substrate contacts on each side of the de-
(11) vice which are parallel to the ending source or drain junctions, as
sketched in Fig. 6 (i.e., no substrate contacts surrounding the de-
4Note that, in general, C 6= C due to different source-to-bulk and vice). In this case, the substrate currents will only flow in a perpen-
drain-to-bulk voltages.
ENZ AND CHENG: MOS TRANSISTOR MODELING FOR RF IC DESIGN 191

Fig. 6. RF MOS transistor layout with symmetrical substrate contacts.

and EKV v2.6 have been used for the intrinsic compact model
( in the schematic of Fig. 1). The parameters have been ob-
tained from the measured parameters which have been de-em-
bedded using a two-step procedure [58]. DC parameters, in-
cluding the series resistance parameter , have been ex-
tracted from dc measurements [59]. Most parameters specific
to the RF part have been extracted using the methodology pre-
Fig. 5. Substrate resistances.
sented in [30] and [31]. A comparison between measured and
simulated parameters versus frequency is presented in Fig. 7.
diculardirectiontothesourceanddraindiffusionsresultinginsub- The simulation has been performed with three different models:
strate resistances inversely proportional to the fingerwidth 1) EKV v2.6 with parameters extracted for that particular device
(line); 2) a scalable RF subcircuit with EKV v2.6 (dashed line);
and for even (14) and 3) a scalable RF subcircuit with BSIM3v3. Both BSIM3v3
and and EKV v2.6 show a very good match with measurements in-
cluding the output admittance . There are small differences
for odd (15) between the scalable models and the model optimized for the
particular device mainly due to the simple scaling rules used for
the substrate resistances. Note that the discrepancies in
where and are the source-to-bulk and drain-to-bulk sub- are not critical since is dominated by its imaginary part cor-
strate resistances per unit length (i.e., transistor width) which responding approximately to . Similar results have been
typically range from 1 to 10 k m (for a bulk technology). The obtained for other operating points and other device geome-
above scaling relations might not hold anymore if there are also tries using the same scalable model. The bias dependence has
substrate contacts surrounding the device, since in this case been checked by measuring the parameters at 1 GHz and
the substrate currents have a complicated three-dimensional sweeping the gate voltage. The de-embedded parameters are
distribution within the substrate. Also, note that and are plotted versus the inversion factor in Fig. 8, where
bias-dependent, but this discussion is beyond the scope of this is the specific current delimiting the regions
paper. of weak and strong inversion with [18]. The in-
A comparison between several substrate resistive networks version factor is a useful way to characterize the state of in-
is presented in [77]. It is claimed that a single substrate resistor, version of the channel of a MOST in saturation: it is much
corresponding to the circuit of Fig. 1 with , is adequate larger than one in strong inversion, close to unity in the mod-
to accurately model the small-signal parameters up to 10 GHz. It erate inversion, and much smaller than one in weak inversion
is important to note that this is true only for devices having a large [18]. Note that depends on the transistor geometry ac-
numbers of fingers ( for thedevices measured in [77]) for cording to [18]. A good match is ob-
which becomesnegligiblewithrespectto and .Also, tained in Fig. 8 for EKV v2.6 except for . The reason
even for devices with a large number of fingers, it was observed for this discrepancy is that the model assumes that the gate resis-
that the model with a single substrate resistor starts to deviate tance used in the subcircuit of Fig. 1 is only due to the poly-sil-
significantly from the measured data for frequencies above 10 icon gate resistance, which is bias-independent. Actually, part of
GHz. includes the effects of the resistance in series with the
gate-to-source intrinsic capacitance that models the distributed
F. Parameters and Measurements nature of the oxide capacitance and the channel resistance which
The subcircuit of Fig. 1 has been used to build a scalable RF is obviously bias-dependent. In the model of Fig. 1, had to
MOS model using adequate scaling rules [31]. Both BSIM3v3 be overestimated in order to fit at a higher frequency
192 IEEE TRANSACTIONS ON SOLID-STATE CIRCUITS, VOL. 35, NO. 2, FEBRUARY 2000

Fig. 7. Comparison between the measured and simulated Y parameters versus frequency (n-channel, N = 10, W = 12 m, L = 0:36 m, and V =
V = 1 V).

(>2 GHz). This resulted in a value of that is smaller approximation [21], [36]–[51]. These models all require one to
than the measured one, as can be observed in Fig. 8. replace the intrinsic capacitances and transconductances with
The performance of RF devices are often evaluated by higher order admittances and transadmittances. This imple-
looking at their transit frequency which can be defined as mentation is not straightforward in compact models since the
the frequency for which the extrapolated small-signal current poles and zeros require additional nodes internal to the compact
gain drops to unity. The measured for two model that have to be solved. NQS effects can be modeled
-channel transistors having different gate lengths are plotted at first-order by replacing each intrinsic capacitance by an
in Fig. 9. The measurements are compared to simulations using RC series network. However, this is impossible to do without
the subcircuit of Fig. 1 with EKV v2.6 for the compact model. having access to the compact model implementation. This
The simulation results agree well with the measured data over problem can be circumvented by using a voltage-controlled
a wide bias range. The peaking of is due to the transistor current source (VCCS) connected in parallel to the compact
leaving saturation and entering into the triode region. At this model intrinsic capacitances and transconductances, as shown
point, the transconductance starts to saturate or even decrease in Fig. 10(a) and (c). The VCCS can then be synthesized in
while the gate-to-drain intrinsic capacitance starts to increase many different ways in order to be implemented in Spice. This
making the reach a maximum and then sharply decrease. approach allows one to keep the QS intrinsic compact MOS
model unchanged. Additional nodes are then added outside
G. Nonquasi-Static Effects the QS compact model in order to implement the desired
The quasi-static assumption used for deriving the transadmittances. An example is shown in Fig. 10(b) and
small-signal circuit of Fig. 1 and the associated compo- (d). The admittance and transadmittance corresponding to the
nents does not hold anymore when the frequency gets close circuits of Fig. 10(b) and (d) are given by
to the intrinsic cutoff frequency of the device defined as
.5 NQS effects may appear for nonminimum
channel length transistors, particularly for p-channel MOS
transistors (PFET’s) which have a smaller mobility and there-
(16)
fore a smaller than n-channel MOS transistors (NFET’s).
Many NQS models have been proposed in the literature taking
into account different effects and with different degrees of with , , , and
. A first-order NQS model would require
5Note that f = 1=(2 ) is always larger than f , typically f =f 
= 5 1 1 1 6.
ENZ AND CHENG: MOS TRANSISTOR MODELING FOR RF IC DESIGN 193

Fig. 8. Comparison between the measured and simulated Y parameters versus inversion factor I =I (n-channel, N = 10, W = 12 m, L = 0:36 m,
and V = V = 1 V).

, , and capacitively coupled to the gate and generate an induced gate


[21], but the domain of validity of this first-order NQS model noise [21], [61]–[68].
can be extended beyond the limit of by using the zero
of (16) by setting and . The circuits B. Channel Thermal Noise
of Fig. 10(c) and (d) have been added to the subcircuit of Although all the noise sources contribute to the total noise
Fig. 1 for the simulation of a -channel MOS transistor with at HF, the dominant contribution still comes from the channel
a nonminimum gate length m. The simulation thermal noise having a PSD given by[18] and [21]
results are very close to the measured parameters, as can
be seen from Fig. 11. It should be noticed that these results with (17)
have been obtained with EKV v2.6 with the XQC switch [17]
set to select the capacitive model instead of the charge-based where is the Boltzmann constant, is
model in order to avoid the left-hand-side zero of the intrinsic the absolute temperature in kelvin, is the channel thermal
transadmittance, as discussed earlier. noise conductance, and is a bias-dependent factor, which for
long-channel devices is equal to unity in the linear region and
to in saturation [61]. is related to another factor
III. NOISE MODELING AT RF
defined as [61]
A. Noise Sources in the MOS Transistor
The different noise sources in the MOS transistor are shown (18)
in Fig. 12 together with their power spectral densities (PSD).
They include: the noise at the drain , constituted by the is a good figure of merit to compare the thermal noise per-
channel thermal noise and the flicker noise formance of different transconductors. It shows how much noise
, the terminal resistances thermal noise is generated by the device at the input for a given transcon-
, , and the substrate resistances thermal noise ductance. As stated by (18), is proportional to and is
, and . The flicker noise mainly affects the typically equal to unity in strong inversion. For short-channel
low-frequency performance of the device and can be ignored 6Flicker noise is nevertheless important for some RF circuits such as mixers
at high-frequency.6 In addition to the channel thermal noise at or oscillators that up-convert the low-frequency noise around the carrier and
the drain, at HF the local noise sources within the channel are deteriorate the phase noise or the signal-to-noise ratio.
194 IEEE TRANSACTIONS ON SOLID-STATE CIRCUITS, VOL. 35, NO. 2, FEBRUARY 2000

Fig. 9. Transit frequency f versus bias for two different transistors: (a) f
versus the inversion factor I =I and (b) f versus the overdrive voltage
V 0V .

devices in saturation, might become larger than the


long-channel value due to both velocity satura-
tion and hot electrons [61], [70], [73]. This effect had already
been analyzed by van der Ziel twenty years ago [61] and has
been verified experimentally by Abidi more than ten years ago
[70]. Some models have been proposed to account for the ve-
locity saturation effect [71] and hot carrier effects [72], but they
have not been implemented in any compact model yet. More re- Fig. 10. NQS admittances and transadmittances implementation: (a)
admittance parallel implementation, (b) admittance Spice implementation,
cently, Klein proposed a simple model for the thermal noise that (c) transadmittance parallel implementation, and (d) transadmittance Spice
accounts for both velocity saturation and hot carriers and can implementation.
be easily implemented in a compact model [73]. Klein’s noise
model was originally developed for a transistor biased in satu-
ration and in strong inversion, but it can be extended to cover is the normalized ratio with being the
weak to strong inversion by rewriting the noise parameter inversion factor in saturation [20]. also depends on the
as bias according to

(19)

where is a relaxation time (of the order of 1 ps) used as a for (strong inv.)
(21)
fitting parameter and [20] for (weak inv.)

(20) This simple model assumes that the carrier velocity is satu-
rated and that the lateral field is equal to the critical field all
ENZ AND CHENG: MOS TRANSISTOR MODELING FOR RF IC DESIGN 195

Fig. 11. Y parameters for a NQS model using VCCS shown in Fig. 9.

Fig. 12. Noise sources in the MOS transistor.

along the channel from source to drain. Although these assump- six times the long-channel value. This is in good agreement
tions are questionable, the resulting model can fit the measured with the measured data [70] and device simulation data [76].
data over bias and geometry [73].
The noise parameter calculated from (19) is plotted C. Induced Gate Noise
versus the inversion factor in Fig. 13 for a long- and a At HF, the local channel voltage fluctuations due to thermal
short-channel device. For long-channel, the second term in (19) noise couple to the gate through the oxide capacitance and
becomes negligible and therefore reduces to . cause an induced gate noise current to flow (cf. Fig. 14) [21],
For short-channel, increases in strong inversion to about [61]–[68]. This noise current can be modeled by a noisy current
196 IEEE TRANSACTIONS ON SOLID-STATE CIRCUITS, VOL. 35, NO. 2, FEBRUARY 2000

], the input referred noise resistance and the


optimum source admittance for which the
minimum noise figure is obtained [68]. The latter are usually the
parameters obtained from measurements. They are not very ap-
pealing from a circuit designer’s point of view. They prefer to
use the parameters related to the noisy two-port equivalent cir-
cuit shown in Fig. 15, namely, the PSD of the input noise voltage
source , the PSD of the input noise current source
and the correlation admittance ac-
counting for the correlation existing between and . Noise
source can also be split into a noise source which is un-
correlated to and a noise source that is fully correlated
with

Fig. 13. noise factor computed from (19) for a long- (L = 10 m) and (24)
a short-channel (L = 0:36 m) device with v = 10 m/s and  = 1 ps.
where is the correlation factor7 defined as .
source connected in parallel to and having a PSD The rules to transform , , and into , ,
given by [64]–[66] , and are given below:8
(22)
with

(25)
and where is a bias-dependent factor that is equal to 4/3 for a and inversely from , , , and into , , and
long-channel device in saturation and [65], [66].
Note that PSD is frequency-dependent.
Since the physical origin of the induced gate noise is the
same as for the channel thermal noise at the drain, the two noise
sources and are partially correlated with a correlation
factor [61], [65], [66]
(26)
According to (26), the smaller the products and
with are, the smaller is.
The noise parameters can be calculated analytically using the
simplified small-signal circuit shown in Fig. 16, where it has
long-channel (23) been assumed that and leading to the
where is the cross-power spectral density [21]. Device definition . The capacitances and
noise simulations performed for a finger length m have also been neglected. The following noise parameters are
have shown that the correlation factor remains mainly imagi- obtained:
nary (real part about 10 times smaller than the imaginary part)
and that its value is slightly smaller than the long-channel value
0.395 (it typically ranges from 0.35 to 0.3 for
for short-channel devices) [75].
The induced gate noise and, moreover, its correlation to the
thermal noise at the drain are not implemented in compact
models yet (except for MOS Model 9 that includes the induced
gate noise but without the correlation). This is probably not too
severe for frequencies much smaller than the device , since
besides the thermal noise at the drain, the other most important
contributor to the total noise are the substrate and the gate (27)
resistances.
7The correlation factor c denoting the correlation existing between noise

D. HF Noise Parameters sources i and v should not be confused with the correlation factor c
accounting for the correlation existing between the induced gate noise and the
The noise at HF is characterized by four parameters: the min- drain thermal noise.
imum noise factor [or minimum noise figure 8Note that R , G , G , and G are usually frequency-dependent.
ENZ AND CHENG: MOS TRANSISTOR MODELING FOR RF IC DESIGN 197

Fig. 14. Induced gate noise and equivalent model.

tion using the complete subcircuit of Fig. 1 with the additional


induced gate noise source added to the subcircuit (but not ac-
counting for the correlation between induced gate noise and
drain thermal noise). Also plotted are the results obtained from
(27) including the correlation between induced gate noise and
channel drain noise. The switches used in the
analytical expressions and shown in the legend of Fig. 17 are de-
fined as follows: enables the gate resistance noise, en-
ables the substrate resistance noise, enables the induced
gate noise without correlation ( ), and enables the in-
duced gate noise correlation ( ). Fig. 17(a) shows that
the gate and substrate resistances strongly affect the minimum
noise figure , the optimum noise conductance and
Fig. 15. Noisy two-port and its ABCD-parameter representation. the input referred noise resistance . The induced gate noise
strongly affects and , but has no effect on . It
can also be seen that the effect of the correlation factor on
where is the ratio of the noise PSD of the gate resistance
is negligible. From these results, it can be concluded that
to the input referred channel noise and
induced gate noise is not the only contributor to the minimum
the ratio of the output referred substrate resistance noise
noise figure, but the gate and, more importantly, the substrate
PSD to the output referred channel noise
resistance also contribute significantly.
Note that the analytical expressions for the noise parameters
(28)
give reasonable results below and the discrepancies ap-
where has been used. Parameters and pearing at HF between the analytical and the measured results
account for the induced gate noise and its correlation to the drain mainly come from a wrong frequency behavior due to the very
noise simple equivalent circuit used for the derivation of (27).

IV. CONCLUSION
The design of RF CMOS integrated circuits requires MOS
(29) transistor models that are accurate up and beyond the gigahertz
frequency range. The compact models currently available do not
Both variables and reduce to when the induced account for some important effects caused by components such
gate noise is ignored ( ). As expected by looking at the as the gate resistance and the substrate coupling resistances that
schematic of Fig. 16, the induced gate noise contributes mainly strongly affect the behavior of the MOS transistor at gigahertz
to through the factor , the gate resistance contributes to frequencies. All these effects can be accounted for by adding in
,and the channel noise and substrate noise contribute to both a subcircuit all the extrinsic components to the existing compact
and . Substrate noise may typically contribute to 20% of model which is then used only as the intrinsic part of the device.
whereas typically contributes to about 5%. It is therefore The RF MOS transistor subcircuit can be made scalable by care-
important to account for the substrate resistance when doing fully describing the geometry dependence of all the external ex-
noise calculation and noise optimization. trinsic components, assuming that the intrinsic compact model
The noise parameters of an -channel device have been mea- is also scalable. Although all these components show a bias de-
sured and carefully de-embedded using the methodology pre- pendence, it is generally sufficient to account for the bias de-
sented in [68], [69], and [74]. The parameters are presented in pendence of the junction and overlap capacitances, leaving the
Fig. 17 and are compared to the results obtained from simula- resistances bias-independent. In some case, it might be required
198 IEEE TRANSACTIONS ON SOLID-STATE CIRCUITS, VOL. 35, NO. 2, FEBRUARY 2000

Fig. 16. Simplified small-signal schematic for noise calculation.

Fig. 17. Comparison between measured and simulated noise parameters.


ENZ AND CHENG: MOS TRANSISTOR MODELING FOR RF IC DESIGN 199

to also account for the bias dependence of the gate resistance REFERENCES
which is mainly due to the distributed nature of the channel. [1] S. P. Voinigescu, S. W. Tarasewicz, T. MacElwee, and J. Ilowski, “An
Simple expressions for the parameters are derived that give assessment of the state-of-the-art 0.5mm bulk CMOS technology for
RF applications,” in Proc. Int. Electron Devices Meeting, Dec. 1995,
a better insight into the device frequency behavior at HF and also pp. 29.5.1–29.5.4.
allow for a direct extraction of almost all the RF model param- [2] H. S. Momose, E. Morifuji, T. Yoshitomi, T. Ohguro, M. Saito, T. Mori-
eters. The only effect that this simplified -parameter analysis moto, Y. Katsumata, and H. Iwai, “High-frequency AC characteristics of
does not capture is the coupling of the signal from the drain to 1.5nm gate oxide MOSFETs,” in Proc. Int. Electron Devices Meeting,
Dec. 1996, pp. 105–108.
the source diffusions and to the substrate contacts. This effect [3] H. S. Momose, R. Fujimoto, S. Otaka, E. Morifuji, T. Ohguro, T.
mainly affects the output admittance and can be modeled Yoshitomi, H. Kimijima, S. I. Nakamura, T. Morimoto, Y. Katsumata,
by a simple resistive network. A scalable model for these sub- H. Tanimoto, and H. Iwai, “RF noise in 1.5 nm gate oxide MOSFET’s
and evaluation of the NMOS LNA circuit integrated on a chip,” in Proc.
strate resistances is proposed that gives excellent results for both VLSI Symp. Technology, June 1998.
n- and p-channels up to 10 GHz. The substrate resistances can [4] L. E. Larson, “Integrated circuit technology options for
RFIC’s—Present status and future directions,” IEEE J. Solid-State
be extracted from measurement according to the procedure de-
Circuits, vol. 33, pp. 387–399, Mar. 1998.
scribed in [30] and [31]. The subcircuit presented in this paper [5] H. S. Momose, R. Fujimoto, S. Otaka, E. Morifuji, T. Ohguro, T.
has been successfully validated over frequency up to 10 GHz, Yoshitomi, H. Kimijima, S. I. Nakamura, T. Morimoto, Y. Katsumata,
H. Tanimoto, and H. Iwai, “RF noise in 1.5 nm gate oxide MOSFET’s
over geometry and over bias on a 0.25- m CMOS process for and evaluation of the NMOS LNA circuit integrated on a chip,” in Proc.
both n- and p-channel devices. VLSI Symp. Technology, June 1998.
NQS operation may be important to model for devices with [6] A. Abidi, “Direct-conversion radio transceivers for digital communica-
nonminimum channel length and particularly for p-channel tions,” IEEE J. Solid-State Circuits, vol. 30, pp. 1399–1410, Dec. 1995.
[7] A. Abidi, A. Rofougaran, G. Chang, and J. Rael, “The future of CMOS
transistors. A simple Spice subcircuit NQS implementation wireless transceivers,” in Int. Solid-State Circ. Conf. Dig. Tech. Papers,
example, using VCCS’s, is described. It shows excellent Feb. 1997, pp. 118–119.
agreement up to 10 GHz with the parameters measured on a [8] J. Rudell, J. Ou, T. Cho, G. Chien, F. Brianti, J. Weldon, and P. Gray,
“A 1.9 GHz wide-band IF double conversion CMOS integrated receiver
p-channel transistor with 0.76- m gate length. for cordless telephone applications,” in Int. Solid-State Circ. Conf. Dig.
Finally, the different noise sources in the transistor are ana- Tech. Papers, Feb. 1997, pp. 304–305.
[9] B. Razavi, “Architectures and circuits for RF CMOS receivers,” in Proc.
lyzed, including the induced gate noise. A new model for the
IEEE Custom Integrated Circuits Conf., May 1998, pp. 393–400.
channel thermal noise of short-channel transistors accounting [10] A. Rofougaran, J. Y.-C. Chang, M. Rofougaran, and A. Abidi, “A 1GHz
for velocity saturation and hot electrons effects is described. The CMOS RF front-end IC for direct-conversion wireless receiver,” IEEE
J. Solid-State Circuits, vol. 31, pp. 880–889, July 1996.
four noise parameters of a simplified MOS transistor model in-
[11] P. Orsatti, F. Piazza, Q. Huang, and T. Morimoto, “A 20mA-receive,
cluding the induced gate noise, the correlation between the in- 55mA-transmit, single-chip GSM transceiver in 0.25mm CMOS,” in Int.
duced gate noise and the drain thermal noise, the substrate re- Solid-State Circ. Conf. Dig. Tech. Papers, Feb. 1999.
sistance noise, and the gate resistance noise are derived. The an- [12] T. Cho, E. Dukatz, M. Mack, D. MacNally, M. Marringa, S. Mehta, C.
Nilson, L. Plouvier, and S. Rabii, “A single-chip CMOS direct-conver-
alytical results as well as the simulation results obtained from sion transceiver for 900MHz spread-spectrum digital cordless phones,”
the complete subcircuit model are favorably compared to mea- in Int. Solid-State Circ. Conf. Dig. Tech. Papers, Feb. 1999.
surements. This analysis also shows that the contribution of the [13] 94 720 BSIM3, version 3.0 manual, Department of Electrical Engi-
neering and Computer Science, University of California, Berkeley, CA,
substrate resistances may be significant and should therefore not 1996.
be neglected as it is often the case. [14] Y. Cheng et al., “A physical and scalable I –V model in BSIM3v3 for
For some RF applications in the gigahertz range using future analog/digital circuit simulation,” IEEE Trans. Electron Devices, vol.
44, no. 2, pp. 277–287, Feb. 1997.
deep-submicrometer processes, it may be advantageous to move [15] MOS MODEL 9 documentation. [Online] Available: HTTP:
the operating point of the RF devices from strong inversion to http:// www-eu.semiconductors.philips.com/Philips_Models/publica-
tions_mm9.stm
moderate inversion to take advantage of the higher ratio [16] D. B. M. Klaassen, “Compact modeling of submicron CMOS,” in Proc.
and therefore of the higher current efficiency and benefit from Eur. Solid-State Circ. Conf., 1996, pp. 40–46.
the lower electrical fields within the transistor. This avoids ve- [17] M. Bucher, C. Lallement, C. Enz, F. Theodoloz, and F. Krummenacher.
locity saturation and hot carrier effects, resulting in smaller ex- (1997) The EPFL-EKV MOSFET Model, Version 2.6. [Online] Avail-
able: HTTP: http://legwww.epfl.ch/ekv/
cess noise factor . It also allows one to take full advantage [18] C. C. Enz, F. Krummenacher, and E. A. Vittoz, “An analytical MOS
of the scaling of the transit frequency compared to the transistor model valid in all regions of operation and dedicated to low-
scaling when velocity saturation is present. Finally, mod- voltage and low-current applications,” Special Issue of the Analog In-
tegrated Circuits and Signal Processing Journal on Low-Voltage and
erate inversion also better accommodates the more and more Low-Power Design, vol. 8, pp. 83–114, July 1995.
stringent low-voltage constraint. [19] C. C. Enz, “MOS transistor modeling dedicated to low-current and low-
voltage analog circuit design and simulation,” in Low-Power HF Micro-
electronics: Integrating Process, Device and Design for Manufactura-
bility, G. Machado, Ed: IEE , 1996, ch. 7, pp. 247–299.
[20] M. Bucher, C. Lallement, C. Enz, F. Theodoloz, and F. Krummenacher,
“Scalable Gm/I based MOSFET model,” in Proc. of the Int. Semicon-
ACKNOWLEDGMENT ductor Device Research Symp., Dec. 1997.
[21] Y. Tsividis, Operation and Modeling of the MOS Transistor, 2nd
The authors would like to thank their colleagues M. Schroter, ed. New York: McGraw-Hill, 1999.
[22] M. C. Ho, K. Green, R. Culbertson, J. Y. Yang, D. Ladwig, and P. Ehnis,
M. Matloubian, and V. Delatorre for fruitful discussions and D. “A physical large-signal Si MOSFET model for RF circuit design,” in
Pehlke, J. Chen, and L. Tocci for taking excellent measurements. IEEE MTT-S Dig., June 1997, pp. 391–394.
200 IEEE TRANSACTIONS ON SOLID-STATE CIRCUITS, VOL. 35, NO. 2, FEBRUARY 2000

[23] R. R. J. Vanoppen, J. A. M. Geelen, and D. B. M. Klaassen, “The high- [46] P. Roblin, S. C. Kang, and W.-R. Liou, “Improved small-signal
frequency analogue performance of MOSFETs,” in Proc. Int. Electron equivalent circuit model and large-signal state equations for the
Devices Meeting, 1994, pp. 173–176. MOSFET/MODFET wave equation,” IEEE Trans. Electron Devices,
[24] D. B. M. Klaassen, B. Nauta, and R. R. J. Vanoppen, “RF modeling of vol. 38, pp. 1706–1991, Aug. 1991.
MOSFETs,” in Analog Circuit Design: MOST RF Circuits, Sigma-Delta [47] S. C. Kang and P. Roblin, “Optimal second-order small-signal model for
Converters and Translinear Circuits, W. Sansen, R. J. van de Plassche, long and short-channel three-terminal MOSFET/MODFET wave equa-
and J. H. Huijsing, Eds. Norwell, MA: Kluwer , 1996, pp. 3–24. tion,” IEEE Trans. Electron Devices, vol. 39, no. 8, pp. 1909–1915, Aug.
[25] W. Liu, R. Gharpurey, M. C. Chang, U. Erdogan, R. Aggarwal, and J. 1992.
P. Mattia, “R.F.MOSFET modeling accounting for distributed substrate [48] H. J. Park, P. K. Ko, and C. Hu, “A nonquasi-static MOSFET model for
and channel resistances with emphasis on the BSIM3v3 SPICE model,” SPICE—AC analysis,” IEEE Trans. Computer-Aided Design, vol. 11,
in Proc. Int. Electron Devices Meeting, Dec. 1997, pp. 309–312. pp. 1247–1257, Oct. 1992.
[26] D. R. Pehlke, M. Schroter, A. Burstein, M. Matloubian, and M. F. Chang, [49] R. Daniels, A. T. Yang, and J. P. Harrang, “A universal large/small signal
“High-frequency application of MOS compact models and their devel- 3-terminal FET model using a nonquasistatic charge-based approach,”
opment for scalable RF MOS libraries,” in Proc. IEEE Custom Inte- IEEE Trans. Electron Devices, vol. 40, pp. 1723–1729, Oct. 1993.
grated Circuits Conf., May 1998, pp. 219–222. [50] T. Smedes and F. M. Klaassen, “An analytical model for the nonquasi-
[27] J.-J. Ou, X. Jin, I. Ma, C. Hu, and P. Gray, “CMOS RF modeling for GHz static small-signal behavior of submicron MOSFETs,” Solid-State Elec-
communication IC’s,” in Proc. VLSI Symp. Technology, June 1998. tron., vol. 38, no. 1, pp. 121–130, 1995.
[28] Y. Cheng, M. Schroter, C. Enz, M. Matloubian, and D. Pehlke, “RF mod- [51] W. Liu, C. Bowen, and M.-C. Chang, “A CAD-compatible nonquasi-
eling issues of deep-submicron MOSFET’s for circuit design,” in Proc. static MOSFET model,” in Proc. Int. Electron Devices Meeting, Dec.
IEEE Int. Conf. on Solid-State and Integrated Circ. Tech., Oct. 1998, pp. 1996, pp. 151–154.
416–419. [52] Y. Tsividis and K. Suyama, “MOSFET modeling for analog circuit
[29] L. F. Tiemeijer and D. B. M. Klassen, “Geometry scaling of the substrate CAD: Problems and prospects,” IEEE J.Solid-State Circuits, vol.
loss of RF MOSFETs,” in Proc. Eur. Solid-State Dev. Res. Conf., Sept. SC-29, pp. 210–216, Mar. 1994.
1998, pp. 481–483. [53] N. Arora, R. Rios, and C.-L. Huang, “Modeling the polysilicon depletion
[30] S. H. Jen, C. Enz, D. R. Pehlke, M. Schroter, and B. J. Sheu, “Accurate effect and its impact on submicrometer CMOS circuit performance,”
MOS transistor modeling and parameter extraction valid up to 10-GHz,” IEEE Trans. Electron Devices, vol. 42, pp. 935–943, May 1995.
in Proc. Eur. Solid-State Dev. Res. Conf., Bordeaux, France, Sept. 1998, [54] H. Iwai, M. R. Pinto, C. S. Rafferty, J. E. Oristian, and R. W. Dutton,
pp. 484–487. “Analysis of velocity saturation and other effects on the short-channel
[31] , “Accurate MOS transistor modeling and parameter extraction MOS transistor capacitances,” IEEE Trans. Computer-Aided Design,
valid up to 10-GHz,” IEEE Trans. Electron Devices, vol. 46, pp. vol. 6, pp. 173–184, Mar. 1987.
2217–2227, Nov. 1999. [55] P. Klein, “A compact-charge LDD-MOSFET model,” IEEE Trans. Elec-
[32] X. Jin, J.-J. Ou, C.-H. Chen, W. Liu, M. J. Deen, P. R. Green, and C. Hu, tron Devices, vol. 44, pp. 1483–1490, Sept. 1997.
“An effective gate resistance model for CMOS RF and noise modeling,” [56] M. Pfost, H.-M. Rein, and T. Holzwarth, “Modeling substrate effects in
in Proc. Int. Electron Devices Meeting, Dec. 1998. the design of high-speed si-bipolar IC’s,” IEEE J. Solid-State Circuits,
[33] C. E. Biber, M. L. Schmatz, T. Morf, U. Lott, and W. Bachtold, “A non- vol. 31, no. 10, pp. 1493–1501, Oct. 1996.
linear microwave MOSFET model for Spice simulators,” IEEE Trans. [57] E. Abou-Allam and T. Manku, “A small-signal MOSFET model for
Microwave Theory Tech., vol. 46, no. 5, pp. 604–610, May 1998. radio frequency IC applications,” IEEE Trans. Computer-Aided Design,
[34] C. E. Biber, “Microwave modeling and circuit design with sub-micron vol. 16, pp. 437–447, May 1997.
CMOS technologies,” Ph.D. dissertation no. 12 505, Swiss Federal In- [58] M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen, “An
stitute of Technology, Zurich (ETHZ), 1998. improved de-embedding technique for on-wafer high-frequency char-
[35] C. C. Enz and Y. Cheng, “Issues in RF MOS transitors modeling,” in acterization,” in IEEE Proc. Bipolar Circuit and Technology Meeting,
8th Workshop on Advances in Analog Circuit Design (AACD’99), Opio, 1991, pp. 188–191.
France, Mar. 1999. [59] M. Bucher, C. Lallement, and C. C. Enz, “An efficient parameter extrac-
[36] M. Bagheri and Y. Tsividis, “A small-signal dc-to-high frequency non- tion methodology for the EKV MOST model,” in Proc. IEEE Int. Conf.
quasi-static model for the four-terminal MOSFET valid in all regions on Test Structures, Mar. 1996, pp. 145–150.
of operations,” IEEE Trans. Electron Devices, vol. ED-32, no. 11, pp. [60] H. Beneking, High-Speed Semiconductor Devices. London, U.K.:
2383–2391, Nov. 1985. Chapman & Hall, 1994.
[37] W. Sansen and P. Vandeloo, “Modeling the MOS transistor at high fre- [61] A. van der Ziel, Noise in Solid-State Devices and Circuits. New York:
quencies,” Electron. Lett., vol. 22, no. 15, pp. 810–812, July 1986. Wiley, 1986.
[38] P. Mancini, C. Turchetti, and G. Masetti, “A nonquasi-static analysis [62] S. Tedja, J. Van der Spiegel, and H. H. Williams, “Analytical and exper-
of the transient behavior of the long-channel most valid in all regions imental studies of thermal noise in MOSFET’s,” IEEE Trans. Electron
of operation,” IEEE Trans. Electron Devices, vol. ED-34, pp. 325–334, Devices, vol. 41, pp. 2069–2075, Nov. 1994.
Feb. 1987. [63] D. P. Triantis, A. N. Birbas, and S. E. Plevridis, “Induced gate noise in
[39] P. Vandeloo and W. Sansen, “Measuring and fitting of the small-signal MOSFET’s revisited: The submicron case,” Solid-State Electron., vol.
model of the MOS transistor for high frequency applications,” IEEE 41, no. 12, pp. 1937–1942, 1997.
Instrum. Meas. Technology Conf., pp. 232–237, Apr. 1988. [64] H. E. Halladay and A. Van der Ziel, “On the high frequency excess
[40] H. J. Park, P. K. Ko, and C. Hu, “A nonquasi-static MOSFET model for noise and equivalent circuit representation of the MOSFET with n-type
SPICE—Transient analysis,” IEEE Trans. Electron Devices, vol. 36, pp. channel,” Solid-State Electron., vol. 12, pp. 161–176, 1969.
561–576, Mar. 1989. [65] D. K. Shaeffer and T. H. Lee, “A 1.5-V, 1.5-GHz CMOS low-noise am-
[41] P. J. V. Vandeloo and W. M. C. Sansen, “Modeling of the MOS tran- plifier,” Solid-State Circuits, vol. 32, no. 5, pp. 745–759, May 1997.
sistor for high frequency analog design,” IEEE Trans. Computer-Aided [66] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Cir-
Design, vol. 8, pp. 713–723, July 1989. cuits. Cambridge, U.K.: Cambridge Univ. Press, 1998.
[42] K.-W. Chai and J. Paulos, “Unified nonquasistatic modeling of the long- [67] F. M. Klaassen and J. Prins, “Noise in field-effect transistors at very high
channel four-terminal MOSFET for large- and small-signal analyzes frequencies,” IEEE Trans. Electron Devices, vol. ED-16, pp. 952–957,
in all operating regimes,” IEEE Trans. Electron Devices, vol. 36, pp. Nov. 1969.
2513–2520, Nov. 1989. [68] C. Chen and M. J. Deen, “High frequency noise of MOSFETs—I: Mod-
[43] P. Roblin, S. C. Kang, and H. Morkoc, “Analytical solution of the ve- eling,” Solid-State Electron., vol. 42, pp. 2069–2081, Nov. 1998.
locity-saturated MOSFET/MODFET wave equation and its application [69] C. Chen, M. J. Deen, Z. X. Yan, M. Schroter, and C. Enz, “High fre-
to the prediction of the microwave characteristics of MODFET’s,” IEEE quency noise of MOSFETs—II: Experiments,” Solid-State Electron.,
Trans. Electron Devices, vol. 37, pp. 1608–1622, July 1990. vol. 42, pp. 2083–2092, Nov. 1998.
[44] L.-J. Pu and Y. Tsividis, “Small-signal parameters and thermal noise [70] A. Abidi, “High-frequency noise measurements on FET’s with small
of the four-terminal MOSFET in nonquasi-static operation,” Solid-State dimensions,” IEEE Trans. Electron Devices, vol. ED-33, pp. 1801–1805,
Electroni., vol. 33, no. 5, pp. 513–521, 1990. Nov. 1986.
[45] H. J. Park, P. K. Ko, and C. Hu, “A charge conserving nonquasi-static [71] B. Wang, J. R. Hellums, and C. G. Sodini, “MOSFET thermal noise
(NQS) MOSFET model for SPICE transient analysis,” IEEE Trans. modeling for analog integrated circuits,” IEEE J. Solid-State Circuits,
Computer-Aided Design, vol. 10, pp. 629–642, May 1991. vol. 29, pp. 833–835, July 1994.
ENZ AND CHENG: MOS TRANSISTOR MODELING FOR RF IC DESIGN 201

[72] D. P. Triantis, A. Birbas, and D. Kondis, “Thermal noise modeling for Yuhua Cheng (SM’99) received the B.S. degree in
short-channel MOSFET’s,” IEEE Trans. Electron Devices, vol. 43, no. electrical engineering from Shandong Polytechnic
11, pp. 1950–1955, Nov. 1996. University, Jinan, China, in 1982, the M.S. degree
[73] P. Klein, “An analytical thermal noise model of deep-submicron in electrical engineering from Tianjin University,
MOSFET’s for circuit simulation with emphasis on the BSIM3v3 Tianjin, China, in 1985, and the Ph.D. degree in
SPICE model,” in Proc. Eur. Solid-State Dev. Res. Conf., Sept. 1998, electrical engineering from Tsinghua University,
pp. 460–463. Beijing, China, in 1989.
[74] K. Aufinger and J. Boeck, “A straightforward noise de-embedding In 1990, he joined the Institute of Microelectronics
method and its application to high-speed silicon bipolar transistors,” in (IME), Peking University, China. From 1992 to 1996,
Proc. Eur. Solid-State Dev. Res. Conf., Sept. 1996, pp. 957–960. he was an Associate Professor in the IME and the
[75] T. Manku, “Microwave CMOS—Device physics and design,” IEEE J. Department of Computer Science and Technology.
Solid-State Circuits, vol. SC-34, pp. 277–285, Mar. 1999. From 1994 to 1995, he was a Visiting Professor at the University of Trond-
[76] , “RF simulations and physics of the channel noise parameters heim, Norway, and a Research Fellow of the Norwegian Research Council.
within MOS transistors,” in Proc. IEEE Custom Integrated Circuits From 1995 to 1997, he was a Research Scientist at the Department of Electrical
Conf., May 1999, pp. 369–372. Engineering and Computer Sciences, University of California, Berkeley, where
[77] S. F. Tin and K. Mayaram, “Substrate network modeling for CMOS he was working on the BSIM3 model development and providing technical sup-
RF circuit simulation,” in Proc. IEEE Custom Integrated Circuits Conf., port to BSIM3 users from both industry and academics. He was the Project
May 1999, pp. 583–586. Coordinator of the BSIM3v3 model development and was one of the principal
contributors of the BSIM3v3 model which has been chosen as an industry stan-
dard model for IC simulation by the Electronics Industry Association/Compact
Model Council and given an R&D 100 Award in 1996. In 1997, he worked for
Christian C. Enz (M’84) received the M.S. and several months in Cadence Design Systems as a Member of Consultant Staff.
Ph.D. degrees in electrical engineering from the Since May 1997, he has been with Conexant Systems (formerly Rockwell Semi-
Swiss Federal Institute of Technology, Lausanne conductor Systems), Newport Beach, CA, as a Senior Staff Engineer, respon-
(EPFL), in 1984 and 1989, respectively. sible for radio frequency (RF) device modeling and parameter extraction for
From 1984 to 1989, he was a Research Assistant circuit design. His research interests include high-speed bulk and silicon-on-in-
at the EPFL, working in the field of micropower sulator (SOI) CMOS device, modeling and RF IC design. In 1991, he served as
analog CMOS integrated circuits (IC) design. In the Chairperson of the Technology CAD session of VLSI Process and Device
1989, he was one of the founders of Smart Silicon Simulation (VPAD) in Japan and served as a Chairperson in Compact Model
Systems S.A. (S3), where he developed several and Circuit Simulation session at the 1998 IEEE International Conference on
low-noise and low-power IC’s, mainly for high-en- Solid-State and Integrated Circuit Technology (ICSICT’98) in China. He has
ergy physics applications. From 1992 to 1997, he authored or co-authored more than 40 research papers, including several invited
was an Assistant Professor at EPFL, working in the field of low-power analog ones, and one book MOSFET Modeling & BSIM3 User’s Guide.
CMOS and BiCMOS IC design and device modeling. From 1997 to 1999, he
was Principal Senior Engineer at Conexant (formerly Rockwell Semiconductor
Systems), Newport Beach, CA, where he was responsible for the modeling and
characterization of MOS transistors for the design of RF CMOS circuits. In
1999, he joined the Swiss Center for Electronics and Microtechnology (CSEM)
where he was heading the RF and Analog IC design group and, recently, was
promoted to Head of the Advanced Microelectronics Department. He is also
Adjunct Professor at EPFL. His technical interests and expertise are in the field
of very low-power analog and RF IC design and MOS transistor modeling. He
is the author or co-author of more than 60 scientific papers and has contributed
to numerous conference presentations and advanced engineering courses.

Você também pode gostar