Você está na página 1de 6

AULA 01: Contadores Eletrnicos Montagem de um contador de dois dgitos.

Prof. Dr. Roberto Hessel

1. Introduo Um contador eletrnico provavelmente um dos mais teis e versteis subsistemas num sistema digital[1]. Graas s diversas verses disponveis podem ser utilizados, por exemplo, para contagens diversas, diviso de freqncia, medio de intervalo de tempo e freqncia, gerao de formas de onda, e, at mesmo, para converter informaes analgicas em digitais. Contadores eletrnicos operando em associao com uma fonte de freqncia precisa e estvel so particularmente teis num Laboratrio de Fsica Bsica quando se deseja medir pequenos intervalos de tempo[2-5]. Medidas desse tipo podem ser feitas automaticamente e com facilidade utilizando equipamentos comerciais, entretanto seu custo relativamente alto[6] acaba, em muitos casos, desestimulando ou at mesmo inviabilizando sua utilizao. Felizmente, o problema do custo pode ser contornado porque existe no comrcio uma grande variedade de componentes eletrnicos de baixo custo, a partir dos quais podemos montar kits muito similares queles disponveis no mercado, mas a um custo no mnimo dez vezes menor[7]. Nesta atividade, voc ver como isso pode ser feito. Nas seguintes veremos tambm algumas aplicaes para o kit montado. 2. Montagem de um contador A indstria eletrnica fabrica uma variedade enorme de contadores [8]. Dentre esses, os mais indicados para quem deseja montar um simples contador de eventos ou pulsos so os contadores de dcadas, isto , aqueles que permitem contar de 0 a 9. Ligando-se em cascata vrios desses contadores, pode-se facilmente ampliar o limite mximo da contagem. Com 2 contadores conta-se at 99; com 3, at 999 e assim por diante. Isto possvel porque contadores deste tipo dispem de uma sada designada vai 1 (ou 10 OUT ou ainda CARRY-OUT) que pode ser ligada entrada do contador seguinte. Quando o nmero de pulsos na entrada (clock) do primeiro contador atinge o valor 10, a contagem zerada para que possa ser reiniciada, e sua sada vai 1 injeta um pulso na entrada do contador seguinte, fazendo com que a contagem neste contador avance uma unidade. Contadores de dcadas tm sadas codificadas em BCD (Binary Code Decimal), o que significa dizer que o resultado da contagem (0 a 9) aparece em suas

sadas na forma binria, que utiliza apenas os smbolos (bits) 0 e 1 para representar um nmero. Devem, portanto, ter 4 sadas em BCD, porque para representar nmeros de 0 a 9 no sistema binrio so necessrios 4 dgitos (Associa-se o algarismo 1 sada que estiver sob tenso no mnimo igual a 2/3 da tenso de alimentao; neste caso diz-se tambm que a sada est no nvel lgico 1 ou simplesmente nvel alto. Por outro lado, associa-se o 0 sada que estiver sob tenso em torno de zero; neste caso diz-se que est no nvel lgico 0 ou simplesmente nvel baixo [8,9]). O nmero binrio, se necessrio, pode ser convertido eletronicamente para a forma decimal por meio de circuitos decodificadores. Se, por exemplo, quisermos visualizar a contagem usando displays numricos de 7 segmentos a LEDs, devemos escolher decodificadores BCD para 7 segmentos. A funo desses decodificadores determinar quais segmentos do display devero ser acionados para formar o nmero decimal correspondente ao nmero binrio presente nas sadas do contador. As opes para quem deseja montar um contador para ser usado em conjunto com displays de 7 segmentos so vrias. Exemplos tpicos de contadores baratos e fceis de serem encontrados no comrcio so o CD4026B (ou CD4033B), o CD4029B e o CD4518[10]. Dentre esses, preferimos o primeiro porque, ao contrrio dos demais, o 4026 oferece, integrados num nico bloco, tanto o contador (0 a 9) como o decodificador para 7 segmentos [11]. Assim, com um s integrado, realizamos a mesma tarefa que normalmente exigiria 2 integrados (um s para contar e outro s para decodificar), se, por exemplo, a opo fosse pelo 4029 [12] No domnio do LSI (Large Scale Integration), existe tambm o MM74C925 que, entre outras coisas, traz, integrados num nico bloco, 4 contadores e seus respectivos decodificadores para 7 segmentos [8]. Com ele, podemos montar um contador de 4 dgitos usando basicamente um nico integrado e mais 4 displays de 7 segmentos a LEDs [13]. No entanto, este integrado bem mais caro quando comparado com os demais citados e difcil de ser encontrado no comrcio varejista. Depois de analisar os contadores existentes, do ponto de vista da disponibilidade, custo e mo de obra, escolhemos para esta atividade o 4026. O CD4026B Como vimos acima, o CD4026B um integrado que pode operar como contador de dcada e que dispe tambm de sadas decodificadas para 7 segmentos [11]. Por ser um circuito integrado de tecnologia CMOS, o consumo de corrente baixo e a tenso de alimentao, escolhida de acordo com a convenincia do usurio, pode variar desde 3 at cerca de 15 volts. Ns preferimos trabalhar com tenso de alimentao entre

4,5 e 5,0 volts (3 pilhas em srie) porque, nessa faixa, cada segmento do display pode ser ligado diretamente sada correspondente do integrado (ver Fig. 1), ou seja, sem o costumeiro resistor limitador de corrente, pois a corrente em cada segmento j suficientemente limitada pelo prprio integrado. Alm disso, a corrente total consumida por um contador de 2 dgitos alimentado com uma tenso em torno de 5 V, como o que voc montar nesta aula, fica abaixo de 50 mA, de modo que at mesmo pilhas de lanterna em srie podem ser utilizadas como fonte de alimentao. A Fig. 1 mostra, esquematicamente, um CD4026 visto de cima, com seus 16 terminais, e mais um display de 7 segmentos a LEDs.

Figura 1 A alimentao do contador feita atravs dos terminais 16 e 8 (terra). As sadas 6, 7, 9, 10, 11, 12 e 13 so ligadas diretamente aos terminais correspondentes do display. O terminal 15 (RST) utilizado para zerar o contador. Para isso basta mant-lo momentaneamente num nvel alto (nvel lgico 1). O terminal 2(CL EN), por sua vez, utilizado para controlar o funcionamento do contador, pois enquanto estiver num nvel alto a contagem no avana. Para que o contador opere normalmente, tanto a entrada RST como a entrada CL EN devem estar aterradas. Neste caso, a contagem avanar uma unidade toda vez que o nvel lgico do terminal 1(CLOCK) sofrer uma transio passando de um nvel baixo para um nvel alto. O pino 3(DIS EN) permite regular o brilho do display. Finalmente, o terminal 5 ( 10 OUT), que a sada vai 1, deve ser ligado ao clock do 4026 seguinte, se quisermos ampliar o limite mximo da contagem (Os pinos 4 e 14 no foram utilizados na nossa montagem.) A ttulo de exemplo, a Fig.2 mostra esquematicamente como ligar em cascata dois integrados 4026 e seus respectivos displays de 7 segmentos para montar um 3

contador de 2 dgitos, isto , um contador que conte desde 0 at 99 (Os displays-tipo catodo comum-podem ser o C-551E, ou o BS-C514RD ou qualquer outro equivalente.)

Figura 2 As entradas RST (terminais 15) e clocks (terminais 1) so muito sensveis, especialmente se forem deixadas abertas (O contador pode disparar sem causa aparente.) Por essa razo, conveniente lig-las terra por meio de resistores, como mostra a figura (O valor nominal desses resistores no crtico; valores diferentes dos indicados na figura tambm so aceitveis.) A chave S1, de contato momentneo (push botton), permite zerar (resetar) o marcador ao ser acionada (O capacitor evita possveis rebotes.) A entrada CL EN (terminal 2) deve permanecer aterrada, se a montagem for utilizada apenas para contar eventos (nmero de pessoas entrando numa loja, ou o nmero de produtos transportados por uma esteira industrial numa linha de produo, por exemplo). Se for utilizada como cronmetro, dever ser conectada sada de uma chave ptica ou a uma das sadas de um circuito flip-flop, como veremos nas prximas aulas.

Referncias [1] [2] [3] [4] [5] A.P. Malvino e D.P. Leach, Eletrnica digital: princpios e aplicaes (McGraw-Hill, So Paulo, 1987), v.2, cap. 11. P.H. Gregson and W.P. Lonc, Am. J. Phys., 44, 803 (1976). J.A. Blackburn and R. Koenig, Am. J. Phys., 44, 855 (1976). R.P. Barret and N. Sollenburger, Phys. Teach., 18, 68 (1980). R. Hessel, A.C. Perinotto, R.A.M. Alfaro, and A.A. Freschi, Am. J. Phys., 74, 176 (2006). [6] Segundo a MSR Laboratrios e Processos (msr@msrciencias.com.br), representante da Pasco Scientific no Brasil, um cronmetro digital com seus respectivos acessrios (Digital Photogate Timer System) custa, na fbrica, cerca de $440,00. [7] R.Hessel, C.S.de Oliveira, G.A.Santarine e D.R.Vollet, Rev. Bras. Ens. Fs., 30, 1501 (2008).Uma errata foi publiccada num nmero subsequente: Rev. Bras. Ens. Fs., 31,4901 (2009). [8] [9] [10] P. Horowitz and W. Hill, The Art of Electronics Cambridge, 1983), cap.8. N.C. Braga, Curso de Eletrnica Bsica (Editora Saber Ltda, So Paulo, 2001), 4. ed., cap. 15, www.edsaber.com.br. A maior parte dos componentes utilizados neste trabalho foi comprada na Mult Comercial Ltda., R. dos Timbiras, 238, Santa Efignia, CEP 01208-010, So Paulo, SP. Vendas: mult@grupoinformat.com.br. [11] [12] [13] Informaes sobre os componentes eletrnicos utilizados neste trabalho podem ser encontradas acessando o site www.datasheet4u.com A. Braga, Saber Eletrnica, n 182, p.5(1988). G. Catenato, Contador de 4 dgitos, in Eletrnica para Todos (Salvat Editores, S.A., Barcelona, 1998), tomo 2, projeto n 12. (Cambridge University Press,

Atividade prtica 1. Monte um contador de dois dgitos, usando como guia as figuras 1 e 2 do texto que acabou de ler(A fonte de alimentao lhe ser entregue somente depois que completar a montagem.)

2. Por meio de dois pedaos de fio com etiquetas em uma de suas extremidades, deixe indicadas tanto a entrada CLOCK como a entrada CL EN.

3. Vamos agora testar o funcionamento do contador. Segundo o texto lido, se a entrada CL EN estiver aterrada, o contador efetuar uma contagem toda vez que o nvel lgico do CLOCK passar de um nvel baixo para um nvel alto. Portanto, para testar seu contador, aterre a entrada CL EN e conecte mais uma chavinha(push button) entre o CLOCK e a trilha do protoboard(matriz de contatos) ligada ao polo positivo da fonte(nvel alto). Se seu contador estiver funcionando corretamente, a contagem dever avanar uma unidade cada vez que pressionar a chavinha(verifique).

4. Para zerar(resetar) a contagem, pressione a chave S1 mostrada na Fig. 2. [Observe que a entrada RST(terminar 15) passa de um nvel baixo para um nvel alto quando voc pressiona S1.]

5. Vamos agora contar pulsos retangulares fornecidos por um gerador de udio. Escolha, inicialmente pulsos retangulares gerados a uma freqncia de 10 Hz, e fixe uma tenso de 4 volts na sada do gerador. O terra do gerador dever ser ligado ao terra do protoboard(polo negativo da bateria). A sada positiva ser ligada ao CLOCK do contador. Observe o qu acontece. Voc tem como verificar se o contador est funcionando corretamente? Observe, tambm, o qu acontece medida que voc aumenta ligeiramente a freqncia.

6. Desaterre a entrada CL EN e observe o qu acontece. Em seguida ligue essa entrada trilha positiva(Vcc) do protoboard. O qu voc observa? 7. A mudana do nvel lgico da entrada CL EN(pino 2) tambm pode ser feita por meio de uma pequena chave de duas posies. Essa chave tem trs terminais. O terminal do centro(comum) deve ser ligado diretamente na entrada CL EN, enquanto os outros dois ligados respectivamente no terra e na trilha positiva do protoboard. Com o clock do contador ainda ligado no gerador de udio, verifique o que acontece quando voc muda a posio da chave.

Você também pode gostar