Você está na página 1de 5

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA - LGICA DIGITAL - LABORATORIO 1 - SEPTIEMBRE 2008

Circuitos Lgicos Combinacionales


Luis Felipe De La Hoz Cubas, Mara Ilse Dovale Prez, Andrs Eduardo Manotas Arcieri. Divisin de Ingenieras Universidad del Norte Barranquilla

Abstract En el presente informe se pretende mostrar el diseo e implementacin de un circuito combinacional, aplicando herramientas de minimizacin, decodi cadores y dems elementos de anlisis estudiados previamente para compararlos con las respectivas simulaciones hechas con el n de entender el funcionamiento de los circuitos implementados y poder sacar conclusiones a partir de los resultados obtenidos. Index Terms Analgico, Circuito Combinacional, Compuertas, Decodi cador, Digital, Minimizacin, Tiempos de Propagacin

respectivo diagrama con las compuertas y nalmente montar el circuito con compuertas reales. Al comenzar la tabla de verdad propuesta fue la siguiente:

I. INTRODUCCIN

CTUALMENTE la sociedad se ha ligado de forma impresionante al mundo tecnolgico, pero a pesar de todas las opciones de arreglos programables que existen actualmente, es necesario tener claros los conocimientos basados en diseos combinacionales utilizando componentes discretos, para as adentrarse poco a poco en el mundo de la Lgica Digital. Para este n se hicieron dos montajes, los cuales sern explicados en breve, cabe resaltar que un circuito lgico combinacional es aquel que sus salidas slo depende de sus entradas actuales, estos pueden contener una cantidad arbitraria de compuertas lgicas e inversores pero no lazos de retroalimentacin, es decir, la trayectoria de una seal en un circuito que permite que la salida de una compuerta se propague de regreso hacia la entrada de una misma compuerta.

Tabla 1: Tabla de verdad propuesta primeramente. Con el mtodo de minimizacin de mapa de Karnaugh se minimiz la funcin y se obtuvo una suma de productos, veamos a continuacin:

Tabla 2: Mapa de Karnaugh II. CIRCUITOS LGICOS COMBINACIONALES Como su nombre lo indica un circuito lgico combinacional es un circuito que depende de las combinaciones de sus entradas en ese instante, su salida F, vara si sus entradas varan, los circuitos de lgica combinacional estn hechos a partir de compuertas lgicas bsicas como: AND, OR, NOT, aunque tambin pueden ser hechos con las combinaciones de las anteriores como: NAND, NOR, XOR. La operacin de los circuitos combinacionales se entienden escribiendo las ecuaciones booleanas y sus respectivas tablas de verdad. A. Circuito Lgico de Reconocimiento Con el n de comprobar el funcionamiento de los circuitos lgicos combinacionales se diseo uno de estos dada una tabla de verdad, dicha tabla de verdad se deba minimizar con alguno de los mtodos aprendidos en clase para hacer luego el Funcin: F = X Y + X Z + X Z Como se puede observar la funcin tiene 3 entradas pero se trabaj slamente con compuertas NAND de 2 entradas por lo que la funcin se cambi a la siguiente tabla de verdad:

Tabla 3: Tabla empleada. Como se puede observar, la funcin es asertiva cuando sus dos entradas tienen el mismo valor, el circuito entonces se puede ver a continuacin con las respectivas compuertas lgicas que se emplearn en el montaje del mismo:

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA - LGICA DIGITAL - LABORATORIO 1 - SEPTIEMBRE 2008

en el analizador lgico, a continuacin vemos el montaje realizado en la vida real y su respectivo resultado en el anarlizador.

Figura 3: Montaje del circuito empleado en protoboard.

Figura 1: Modelo del circuito anterior implementado con compuertas lgicas. El anterior modelo de circuito se puede representar como una funcin lgica de la siguiente forma, utilizando el mtodo de reduccin de Morgan: F =ZXZX F =Z X +Z X F =Z X +Z X En el montaje se utilizaron compuertas NAND de dos entradas con referencia 74LS00 las cuales se encontraban conectadas como muestra el siguiente diagrama a continuacin, cabe resaltar que se tuvieron en cuenta las consideranciones consultadas en la hoja de datos del fabricante del dispositivo en uso. Figura 4: Resultado del circuito montado mostrado en el analizador lgico, se pueden ver las entradas, A y B y la funcin de salida F. Se pueden ver los riesgos de temporizacin causados por las compuertas NOT simuladas con una NAND con las entradas idnticas, en estos casos se presentan ceros y unos estticos ya que esta compuerta no se encuentra en la una misma etapa lo que retrasa la seal. Esta con guracin tambin se comprobo simulndolo en el programa WinCupl y los resultados mostrados se pueden observar a continuacin:

Figura 5: Resultadp del circuito hecho en WinCupl. Es importante saber que en este circuito se presentan los riesgos estticos es decir: el riesgo 1 esttico, el cual signi ca la posibilidad de que una salida del circuito produzca una interferencia 0 cuando esperaramos que la salida permaneciera en un sutil 1 estacionario con base en un anlisis esttico de la funcin del circuito [1] ; por otra parte; el riesgo 0 esttico es la posibilidad de una interferencia de 1 cuando se esperara [1] que el circuito tenga una salida 0 estacionaria .

Figura 2: Montaje del circuito descrito por compuertas NAND reales. Como ya se supone la funcin de este circuito es comprobar que la salida es decir, F sea tal cual como la muestra la tabla de verdad, para eso se implement el circuito mostrado anteriormente en una protoboard y los resultados fueron vistos

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA - LGICA DIGITAL - LABORATORIO 1 - SEPTIEMBRE 2008

B. Circuito de Control de Encendido de Luz Se pretende hacer un circuito para controlar el encendido de luz de un bombillo que depende tanto de un switche fsico que puede ser encendido y apagado tanto del nivel de luz que reciba un sensor implementado en este, el bombillo slo deber encenderse s y slo s el sensor de luz se encuentra on y el interruptor se encuentra off tal como mostrar la tabla de verdad luego. Para este montaje se busc hacer un detector de luz que funcionara bajo 2 condiciones, si haba una cantidad considerable de luz o si la variable encender era alta. Para esto se implemento la siguiente tabla de verdad.

Al momento de probar el circuito se tuvo que decidir el valor de la resistencia R a causa de que la fotocelda no obtiene un valor neto de 0 ; si no que su valor oscil entre 160 con una fuente de luz intensa (para la cual se utiliz una lmpara), y 50K sin luz, por lo que se utiliz una resistencia de 1K , para garantizar que fuera un alto, y sin que la pequea resistencia en la foto celda no afectara esto, tambin se tuvo en cuenta el valor comercial de la foto resistencia. Teniendo el circuito totalmente armado, se pudo observar que al momento de tener un estado de lgico de cero (0V ), el diodo no conduca, por lo que el relevo se activaba, lo que haca que la bombilla encendiera; pero cuando el estado lgico era de alta impedancia, el diodo empezaba a conducir, produciendo entonces el mismo voltaje el los terminales del relevo, por lo que la diferencia de potencial fue 0V en los terminales de relevo, por lo que no se encendi la lmpara. Para entender ms esto podemos recurrir a la Figura 7.

Tabla 4 : Tabla de verdad del circuito de control de encendido de luz. Para realizar el montaje se hizo uso de una compuerta Smith Trigger, esto con el n de tener un circuito un poco ms inmune al ruido, y con el n de complementar la tabla de verdad, una compuerta not con Smith Trigger(Tipo Disparador Smith) fue la ms ptima. El circuito combinacional de la tabla de verdad mostrada anteriormente puede ser visto en la Figura 6, seguida del esquema del circuito. La funcin utilizada fue F = X Y , en donde X simboliza la luz, y Y la entrada encender.

Figura 7: Diseo del circuito de control de encendido de luz. Finalmente se utiliz un comparador de voltaje para manipular la entrada de la seal luz, en donde se llev a un nivel lgico apropiado, y esto gener ms estabilidad en el circuito, ya que por ser la luz una variable mecnica, puede tener mucha interferencia, pero con el comparador de voltaje, este nivel de corrupcin es muy bajo. Para stas prcticas se utiliz una compuerta NOT de tipo Disparador Smith como ya se mencion al comienzo, esto es con el n de tener un circuito bastante selectivo con respecto a la variable luz, y adems de esto se utilizaron compuertas de colector abierto, por la caracterstica del relevo de trabajar con 12V, lo que podra ocasionar un problema de corto circuito el la salidas de las compuertas simples, en cambio en este tipo de compuertas, el voltaje es controlado por la resistencia de Pull Up, que en el caso de la salida F esta dada por la bobina del relevo. C. Control del Flujo de Agua en un Tanque a travs de un Circuito Lgico Combinacional. Para la elaboracin del diseo del circuito combinacional que controla el ujo de agua en un tanque se tuvieron en cuenta las siguientes consideraciones: XSi el nivel de agua es bajo tanto la vlvula 1 como la vlvula 2 se abren. XSi el nivel de agua es alto se abre la vlvula 3. XSi la temperatura no es normal es decir, est en caliente se abre la vlvula 1 que es la de agua fra para establecer el equilibrio, o viceversa si la temperatura esta fra se abre la vlvula dos para establecer el equilibrio.

Figura 6: Circuito Lgico Combinacional Implementado. Para el montaje del circuito se trat de veri car cautelosamente las conexiones debido a que se trabaj con voltajes relativamente altos (120V, AC 60Hhz). Por lo que se trat de trabajar con componentes lo ms seguros posibles y tener precaucin de no realizar un corto, el cual podra daar las compuertas e inclusive la fuente CEKIT R usada para la prctica. En la Figura 7 podemos ver el circuito montado en la protoboard junto con las conexiones utilizadas para el suministro de 120V AC.

Figura 7: Montaje del circuito de control de encendido de luz hecho en protoboard.

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA - LGICA DIGITAL - LABORATORIO 1 - SEPTIEMBRE 2008

Sin importar la temperatura del tanque si el nivel del agua es bajo se abrirn ambas vlvulas tanto la vlvula nmero uno, como la nmero dos, o se abrir la vlvula tres en el caso del nivel sea muy alto. Las variables del sensor de nivel se han llamado S1, S2 y S3, para las del sensor de temperatura T1 y T2 y las vlvulas V1, V2 y V3 para cada una. Teniendo en cuenta todas las consideraciones anteriormente mencionadas se pueden deducir tres funciones primordiales: 1) S1S2S3 + T 1T 2 = V 1 2) S1S2S3 + T 1T 2 = V 2 3) S1S2S3 = V 3 Resulta claro saber que las vlvulas se abren cuando estn en bajo, se puede observar que en la primera funcin se abre la vlvula 1 cuando todas las variables del sensor estn en 0, es decir, el nivel est bajo o se puede abrir cuando la temperatura est caliente. No es necesario que las dos se cumplan para que se abra, tan slo con una que se cumpla es su ciente. Para la segunda ecuacin sucede lo mismo, pero teniendo en cuenta que se aplica a la vlvula 2 y se abrir cuando la temperatura este fra. La funcin 3 muestra que cuando el nivel est muy alto, es decir, cuando estn todas las variables S en 1 la vlvula 3 se abre. Se colocaron Leds en las salidas es decir en las vlvulas a travs de una compuerta de colector abierto, para que muestren a travs de su encendido cuando las vlvulas estn abiertas. En el caso de la temperatura se sabe el estado de ella a travs de otros dos Leds conectados en sus entradas (T1 y T2), uno en cada una, si los dos leds estn encendidos la temperatura es alta, si uno de ellos est apagado y el otro encendido sin tener en cuenta su orden la temperatura est normal, y si los dos estan apagados la temperatura es baja. El display tiene en cuenta las salidas cuando un dgito se exhibe iluminando un subconjunto de los siete segmentos de linea mostrado en la Figura 8. Figura 10: a)Diagrama Lgico incluyendo nmeros de terminales, b) Smbolo Lgico tradicional. Figura 9: Circuito Lgico Combinacional.

Figura 8: a)Identi cacin del Segmento b)Dgitos decimales. Para la conexin del display de ctodo comn se utiliza un decodi cador binario de siete segmentos 74x49, ya que tiene 5 entradas: una ja y 4 que las podemos variar y 8 salidas que son las que conectamos al display, donde la entrada de blanqueo BI_L queda ja en alto y la entrada D la dejamos en bajo ya que no se va a utilizar, ahora para A, B y C se les conecta la entrada S1, S2 y S3 respectivamente. A continuacin se muestra el circuito lgico combinacional, diagrama lgico del decodi cador y la tabla de verdad para este mismo circuito lgico combinacional.

Tabla 5: Tabla de verdad para un decodi cador de siete segmentos 79x49.

DEPARTAMENTO DE INGENIERA ELCTRICA Y ELECTRNICA - LGICA DIGITAL - LABORATORIO 1 - SEPTIEMBRE 2008

III. CONCLUSIONES Aplicaciones como las mostradas anteriormente muestran que la lgica digital es una herramienta fundamental para la solucin de problemas diarios, tanto es as que se puede aplicar el estudio de circuitos combinacionales a la fsica en el estudio de manejo de uidos. Para comprobar esto se dise un circuito que controle el ujo de agua en un tanque, donde el nivel entrega 3 variables y el estado de ste se muestra a travs de un nmero en la pantalla de un display. Este mismo dispositivo va conectado a un decodi cador 74x49, una herramienta clave para el desarrollo del diseo ya que ahorra espacio en este caso se puede observar a travs de sus 8 salidas donde slo se manejan 3 entradas. Lastimosamente, la estabilidad y exactitud en las cantidades fsicas son difciles de obtener en los circuitos reales. Estos se ven afectados por las tolerancias de fabricacin de sus componentes, cambios en la temperatura, cambios en el voltaje de alimentacin y ruido creado por otros circuitos, entre otras cosas, pero con algo de ingenio y con el uso de ayudas para disminuir estos efectos se pueden crear circuitos que se adapten a las caractersticas requeridas. Existe una caracterstica elctrica de las entradas que mejoran la inmunidad al ruido llamada histresis, la cual se pudo comprobar con la compuerta NOT tipo Disparaor Smith. A esta compuerta se le conect una seal senoidal de 5v pico a pico, con una componente DC de 2.5V y la gr ca arrojada de la histresis proporcionada por esta compuerta fue la siguiente:

IV. REFERENCIAS [1].Wakerly, John F. Diseo Digital, Principios y Prcticas, Cuarta Edicin. [2].Gua de laboratorio, Circuitos y Sistemas Digitales I, trabajo dirigido No.2. [3]. Floyd,Thomas. Fundamentos de Sistemas Digitales, Sptima Edicin. [4]. Hamblen, James O. "Rapid prototyping of Digital Systems", Segunda Edicin.

Figura 11: Curva de Histresis Podemos observar las caractersticas de histresis en un circuito de tipo disparador Smith, en la Figura11. en donde claramente se observa que la escala es de 1V y 1V para el voltaje en X como para el voltaje en Y, y teniendo esto en cuenta podemos decir que Vl = 0:9V y Vh = 1:6V , valores que no son para nada descabellados si se tiene en cuenta los suministrados en la hoja de datos del fabricante que son Vl = 0:9 0:8 y Vh = 1:6 1:7V: A simple vista, parece algo difcil relacionar variables fsicas con sistemas booleanos, pero analizando la mayora de estos problemas, obedecen a situaciones que pueden ser remediadas con el acondicionamiento adecuando de las seales, como lo es el uso de un divisor de tensin, para obtener un valor alto con able, y en casos en los que es muy complicado garantizar un valor algo, el la implementacin de un comparador de voltaje.

Você também pode gostar