Você está na página 1de 19

Memrias

Dep.Armas e Electrnica- Escola Naval


V.1.4 V.Lobo 2005

Introduo
Memrias Memrias

Objectivo de um sistema de memria


GUARDAR DADOS (0s e 1s) Operaes bsicas - ESCREVER um dado; LER um dado

Para guardar 1 dado basta um flip-flop Para guardar muitos dados necessrio usar um CONJUNTO de flip-flops, com uma dada organizao.
Para aceder a um deles, tenho que indicar o ENDEREO dentro da memria onde o quero ESCREVER ou LER. 0 1 2 3
Endereos
V.Lobo @ EN

0 0 1 0
Contedos

Organizao Lgica Organizao Fsica

A0 A1
Endereos Contedos

CS WE Data 1 1

(circuitos integrados)

Endereos e Largura da memria


Os dados podem ser guardados em caixas (endereos) de 1 bit, ou em caixas de vrios bits
Chama-se Largura da memria ao n de bits que guardado num mesmo endereo Por vezes chama-se tambm tamanho da word da mquina Uma memria com n x m bits tem n endereos, cada um com m bits Grande parte das memrias tm 8 bits (1 byte) de largura
Memrias Memrias

Exemplo: 64 bits podem ser...


1 2 3 4 5 6 7 8 1 2 3 4 5 6 7 8

1 2 3 4 5

1 2 3 4 5 6 7

13 14 15 16 1 2 3 4

59 60 61 62 63 64 1

8x8
V.Lobo @ EN

16x4

64x1

2 2

Page 1
1

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

Tipos e tamanhos
Memrias Memrias

A unidade bsica o BIT (BInary digiT)


1 Byte = 8 Bits 1 K = 210 =1024 1 M = 220 = 1.048.576 1 G = 230 = 1.073.741.824

Diversas tecnologias / Aplicaes


Estado slido (integrados) RAM, ROM, SRAM, DRAM, PROM, EPROM, etc Magnticas (discos) HD, floppy, tambores, bandas, cartriges, etc Capacidades tpicas (em 1996) DRAM 256K - 16Mb SRAM,PROMs 1K - 512K HD 100Mb - 4G +
V.Lobo @ EN

3 3

Memrias RAM
RAM- Memrias de Acesso Aleatrio (estticas)
construdas a partir de flip-flops contm:

Memrias Memrias

bloco descodificador de endereos bloco de elementos de memria bloco de controlo


DATA IN

ADDRESS

cs ( CHIP SELECT )
WE ( WRITE ENABLE ) DATA OUT

V.Lobo @ EN

4 4

Page 2
2

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

Organizao interna de uma RAM


Memrias Memrias

I1

I2

A A1

CS WR

.
Descodificador
V.Lobo @ EN

.
01 02

Elementos de Memria

Controlo

5 5

Descodificao de Endereos
Estrutura linear
mais rpida, muito hardware
DECOD

C1 C2 C3 OUT C4

M M M M

2M M

.. .. .. ..

Memrias Memrias

Data out

Estrutura a 2 dimenses
mais lenta, menos hardware
M
DECOD

C1
M

C2
M

2M/2

. .. . .
M M Data out

2M/2 C3 C4

DECOD

MUX

V.Lobo @ EN

6 6

Page 3
3

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

Temporizaes
Memrias Memrias

Ciclo de escrita (o de leitura semelhante)


ADDR DATA - Endereos - Dados a escrever (/ler) CS WE - Chip Select - Write Enable

ADDR

CS

DATA

WE

Tacesso=Tmx ciclo

TWSD TSWA TWSCS TW

TWHD TWH? TWES

V.Lobo @ EN

7 7

MEMRIAS RAM (Dinmicas)


Memrias Memrias

Os dados (bits) so guardados em condensadores Vantagens


Ocupam muito menos espao Tm muito menos dissipao

Problemas
perda de carga com o tempo perda de carga com as leituras necessidade de regenerar a informao ( refresh)

Tm necessidade de usar circuitos para gerar os ciclos de refresh (2ms)


V.Lobo @ EN

8 8

Page 4
4

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

MEMRIAS RAM (Dinmicas)


Memrias Memrias

Unidade bsica
1 FET + 1 Consensador (tb.FET)

C1 C2

AMPLIFICADORES (SENSORES)
V.Lobo @ EN

9 9

Escolha de RAMS (DRAM vs SRAM)


Memrias Memrias

Factores a ter em conta


Tamanho da memria RAM DRAM tem maior capacidade Custo por bit BIPOLAR MOS DRAM mais barata Tamanho fsico estticas estticas dinmicas (SRAM) (SRAM) (DRAM) DRAM mais pequna Consumo DRAM consome muito menos Tempo de acesso SRAM mais rpida, e no tem tempos mortos Facilidade de uso SRAM mais fcil de usar

BiMOS estticas (SRAM)

V.Lobo @ EN

10 10

Page 5
5

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

MEMRIAS ROM
Memrias Memrias

Read Only Memory


O seu contedo pr-defindo e no pode ser alterado durante a sua utilizao Serve para guardar informao permanente: programas que no so alterados (BIOS,FirmWare), tabelas de dados fixos (character sets em impressoras) , etc

No so volteis
O seu contedo no se perde quando o circuito no alimentado

So circuitos puramente combinatrios


Para uma dada entrada (endereo), apresentam sada sempre o mesmo valor (dado) podem ser gerados a partir de gates, usando os mtodos clssicos para gerar funes 11 11

V.Lobo @ EN

MEMRIAS ROM
Memrias Memrias

Ex: 4 posies de bits


Endereo Contedo 00 (0) 110 01 (1) 111 10 (2) 010 11 (3) 011
D0 A0 A1 D1

D2

Andar de AND Simplificao com mapas de Karnaugh Descodificao dos endereos, gerando todos os mintermos possveis

Andar de OR Informao especfica dos contedos 12 12

V.Lobo @ EN

Page 6
6

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

MEMRIAS ROM

Memrias Memrias

O andar dos OR pode ser substitudo por uma matriz de dodos As ROMs so programadas no processo de fabrico
Os ORs so feitos inserindo ou no dodos nas junes Usa-se uma mscara (do tipo dos circuitos impressos) no fabrico S compensam em grandes quantidades
m0 m1 m2 m4

D0

D0=m0 + m1 D1=m0 + m1 + m2 + m3 D2=m1 + m3 13 13

D1

D2

V.Lobo @ EN

MEMRIAS PROM
Programable Read Only Memory

Memrias Memrias

Podem-se programar 1 s vez So construdas de modo semelhante s roms, mas tm fusveis nas ligaes linha/coluna que podem ser queimados de modo a cortar essa ligao Para programar a prom, fundem-se os fusveis, usando tenses superiores ao normal (faz-se o blow out da prom)

Fusvel

V.Lobo @ EN

14 14

Page 7
7

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

MEMRIAS EPROM
Memrias Memrias

Erasable Programable Read Only Memory


possvel apagar o seu contedo e regrav-lo O processo de regravar demora tempo Usam dispositivos FAMOS (Floating-Gate Avalanche-Injection Metal Oxide Semiconductor. So MOS-FETs com a gate isolada (flutuante) A carga armazenada na gate pe o FET em conduo ou corte. A gate flutuante pode ser carregada elctricamente se se aplicar uma tenso fortemente negativa no dreno (por efeito de avalanche e efeito de tnel. A gate flutuante pode ser descarregada usando radiao ultravioleta que faz com que o oxido conduza um pouco.
Gate flutuante Fonte Isolante Dreno

Substrato
V.Lobo @ EN

15 15

MEMRIAS EPROM
Memrias Memrias

A desprogramao pode durar cerca de 10 min debaixo de luz ultra-violeta


No prtico regravar durante o funcionamento Tem uma janela no integrado
m (ducad)

-VDD

Pode-se programar BYTE a BYTE


Um FAMOS estar descarregado (normalmente leva a um sinal lgico 1) at que seja aplicada a tenso necessria para o carregar. Pode-se ir gravando uma EPROM

Y(sada)

FAMOS

V.Lobo @ EN

16 16

Page 8
8

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

MEMRIAS E PROM ou EEPROM


Electricaly Erasable Prom

Memrias Memrias

Usam STACKED GATE FET So FETs com uma Gate Flutuante entre a Gate e o canal. So postos em conduo/corte pela Gate Flutuante que carregada e descarregada pela Gate exterior, por efeito de tnel/avalanche Demoram cerca de 1s a programar. Eram muito caras, e pouco usadas. O aparecimento da tecnologia flash trouxe srie de dispositivos baseados em flash-eprom
Gate externa Gate flutuante Fonte Isolante Dreno

Substrato
V.Lobo @ EN

17 17

Memrias Rom
Memrias Memrias

Factores na escolha de ROMS


Quantidade PROMs so mais baratas em grandes quantidades Estabilidade da informao Uma EPROM melhor na fase de desenho Necessidade de reprogramar EEPROM a mais verstil EPROM aceitvel
ROMs BIPOLAR MOS ROM (Mscara) PROM EPROM

Aplicaes

ROM (Mscara)

PROM

V.Lobo @ EN

cdigo de arranque cdigo que no alterado cdigo robusto e no voltil conversores de cdigo (bin/bcd, bcd/7 seg, etc) controlo (sensores/alarmes/actuadores)

EPROM (UV)

EEPROM

18 18

Page 9
9

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

MEMRIAS CCD
Memrias Memrias

Charge - Coupled Device


composto por um FET com um canal muito comprido, e muitas Gates. As Gates carregadas criam debaixo delas poos de depleco onde podem ser armazenadas cargas Podem-se mover cargas de um stio para outro, havendo nas pontas dos canais fontes e drenos para ler/escrever os bits As posies de memria no esto directamente acessveis: necessrio Rod-las. A carga perde-se com o tempo - no podem ter um funcionamento esttico. O tempo necessrio para se chegar a uma posio chama-se Tempo de Latncia Tm uma densidade ( Bit/mm2 ) muito grande. Nas pontas tm um circuito Sensor/Amplificador idntico ao das memrias dinmicas, que regenera o sinal. Podem-se construir memrias CCD com apenas 2 relgios:
V.Lobo @ EN

19 19

MEMRIAS CCD
Memrias Memrias

G1

G2

G3

G4

G5

G6

G7

Substrato G1 = G4 = G7 = +VP Gn = OV G3 = G6 = O Gn= +VP

G2 = G5 = +VP Gn = O

V.Lobo @ EN

20 20

Page 10
10

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

MEMRIAS CCD
Memrias Memrias

WR DATA IN CLK

M bits 0 -V

G1

G2

G3

2
DATA OUT

CCD c/ 2 clk

Serpentina em srie
M bits
DAT IN
Demux

M bits

DATA OUT

Ligao em Paralelo

Mux

V.Lobo @ EN

21 21

Memrias magnticas
Memrias Memrias

Usadas principalmente como memrias secundrias Acesso sequencial


Bandas (Bobines, cartridges , dat, etc), Discos (rgidos, e floppys)

Acesso aleatrio
Ferrite, Bolha magntica (embora com tempos de latncia) MEMORIAS MAGNETICAS

FERRITE

BOLHA

BANDA

DISCO

MAGETOOPTICA 22 22

V.Lobo @ EN

Page 11
11

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

MEMRIAS DE FERRITE
Princpio bsico
Memrias Memrias

Um toro de ferrite pode ser magnetizado em duas direces distintas (cada uma associada a um valor lgico) Para magnetiz-lo, basta fazer passar uma corrente elevada pelo seu centro Para medir a magnetizao, mede-se a impedncia que oferece a uma pequena corrente B Corrente forada impe uma magnetizao

Fio Sensor onde se mede a impedncia

Ciclo de histerese Matriz de toros


V.Lobo @ EN

23 23

MEMRIAS MAGNTICAS
Memrias Memrias

Caractersticas das memrias de ferrite


Muito baixa densidade (toros so macroscpicos !) Tempo de acesso longo Leitura destrutiva Memria no-voltil

Memrias de bolha magntica


Domnios de Weiss so manipulados individualmente Tempos de latncia grandes Alta densidade

V.Lobo @ EN

24 24

Page 12
12

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

DISCOS
Memrias Memrias

Guardam a informao sobre uma (ou vrias) superfcies de material magntico Divide-se o disco em
Sectores Pistas (ou cilindros) (cabea ou superfcie) Sectores Pistas

Formatao
Criao dos marcadores Numerao das pistas Pista 0

V.Lobo @ EN

25 25

BANDAS MAGNTICAS
Memrias Memrias

Muito semelhantes s bandas magnticas de audio tm MARCADORES para buscas rpidas, seguidos de REGISTOS de dados Usados como backup, ou para transferncias de grandes volumes de informao

V.Lobo @ EN

26 26

Page 13
13

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

MEMRIAS FIFO ou ELSTICAS


First In First Out Estrutura similar a um Shift Register:
Memrias Memrias

Data in

Os dados entram por um lado e saem pelo outro Ao contrrio dos Shift Register podem estar parcialmente cheias Semelhante a um tubo onde os dados so postos num lado e retirados do lado oposto

Funcionamento
Tm sinais que indicam se esto cheias/vazias Para escrever: verificar o sinal Buffer Full, e depois actuar o Write Para ler: verificar o sinal Buffer Empty, e depois actuar o Read Din wr bf
V.Lobo @ EN

Dout rd be

Data out 27 27

MEMRIAS FIFO ou ELSTICAS


Memrias Memrias

Implementao
necessrio gerir quais as posies ocupadas e livres H um conjunto de registos auxiliares que indicam se uma posio est livre ou no (1=livre, 0=ocupado) H outros tipos de implementaes (shift regs c/ controlo de leitura)
clk
Read

(ctrl)

FF

(ctrl)

FF

(data)

FF FF

(data)

FF FF

(data)

FF FF

(data)

(data)

(data)

V.Lobo @ EN

28 28

Page 14
14

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

MEMRIAS FIFO ou ELSTICAS


Memrias Memrias

Utilizao
Buffer de espera para adaptar 2 sistemas de dbitos diferentes Comunicaes; Filas de espera; Controlo, etc.

Podem ser simuladas com memria convencional


Usam-se 2 apontadores: um para leitura, outro para escrita Para escrever: Verificar BP;Escrever na posio WP e incrementar WP ;Se WP=MAX WP=0; se WP=RP BF Para ler: Verificar BE;Ler na posio RP;Se RP=MAX RP=0; se RP=WP BE
2 37 4 12 29

V.Lobo @ EN

Read Position (RP)

Write Position (WP)

29 29

MEMRIAS LIFO
Memrias Memrias

LIFO - Last in, first out


Tambm chamadas PILHAS ou STACKs O ltimo dado a entrar o primeiro a sair Push-down stack

Push Pop

Operaes
PUSH - Pr um dado no Stack POP - Retirar um dado do stack

up down

Shif register data

Implementao
Com um shift-register bi-direccional Em software Stack Pointer Zona reservada de memria Rotinas de PUSH e POP
V.Lobo @ EN

Stack Pointer

30 30

Page 15
15

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

MEMRIAS ASSOCIATIVAS
Memrias Memrias

Tambm chamadas endereveis por contedo Dando um contedo, obtm-se um endereo


Associam um contedo a um endereo endeream com o contedo

Utilizao
ndices Sistemas de memria virtual address/ data

CS write lookup data / addr. fault 31 31

36 ? 1

0 1 2 3

12 36 25 10

V.Lobo @ EN

PLD - Programmable Logic Devices


Memrias Memrias

So semelhantes a PROMs
Tm um plano de ANDs seguindo de um plano de ORs So usadas para gerar Funes Lgicas Ao contrrio das ROMs, NO tm todos os MINTERMOS Embora muito flexveis e versteis, como lhes faltamalguns graus de liberdade, no podem gerar qualquer funo
5v

Um plano de ANDs:

Entradas
I0 I1 I2 m0
V.Lobo @ EN

ANDs Implicantes ORs Sadas

m1

m2

m3

32 32

Page 16
16

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

PLD - Programmable Logic Devices


Memrias Memrias

PLA - Programmable Logic Array


Tm ambos os planos (ORs e ANDs ) programveis

PAL - Programmable Array Logic


Apenas o plano dos ANDs programvel

Outros
PLS (Programmable logic sequencer) FPA (Field Programmable Array), etc.

Vantagens
So muito mais baratas e fceis de montar que lgica discreta So mais eficientes e baratas que PROMs completas So facilmente programveis com o auxlio de software 33 33

V.Lobo @ EN

BANCOS DE MEMRIA
Memrias Memrias

Conceito de ESPAO DE ENDEREAMENTO


Endereos que podem ser gerados com um dado nmero de bits Pode ou no corresponder a memria fsica Ex: o 8085 tem 16 bits de endereos, logo um espao de endereamento de 64K; o Pentium II tem 32 bits de endereos, logo um espao de endereamento de 4G

Insero de uma memria no espao de endereamento


A memria fsica MAPEADA para endereos do espao de endereamento da mquina Usam-se alguns bits do endereo para gerar o Chip Select (CS) O endereo para onde a memria fsica mapeada depende da funo que usada para gerar o CS Alguns dos bits de endereo so usados para aceder s diversas posies dentro da memria
V.Lobo @ EN

34 34

Page 17
17

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

BANCOS DE MEMRIA
Memrias Memrias

Exemplo:
Inserir uma memria fsica de 1K num espao de endereamento gerado por 16 bits de endereo, de tal modo que fique mapeada para os endereos entre 4 e 5K. Soluo Uma memria de 1K necessita de logs(1k)=10 bits para endereamento interno Os restantes 6 bits de endereo sero usados para gerar o CS Quando esses 6 bits formarem o n 4, o CS dever ser 1 A10-A15 CS RAM A9 1k A0 Data 35 35

A0-A15

A0-A9

V.Lobo @ EN

BANCOS DE MEMRIA
Memrias Memrias

Memrias intercaladas
No necessrio que sejam os bits mais significativos a ser usados para gerar o CS O que acontece se usarmos os menos significativos ?

Replicao de memria
No necessrio fazer descodificao completa dos endereos. O que acontece se no usarmos todos os bits que sobram para o CS ?

V.Lobo @ EN

36 36

Page 18
18

Memrias
Dep.Armas e Electrnica- Escola Naval
V.1.4 V.Lobo 2005

Bancos de memria
Memrias Memrias

Problema
Um dado sistema baseado num microprocessador tem um Bus de endereos de 16 bits, e um bus de dados de 8 bit Predende-se ter memria ROM nos primeiros 8K endereos, e entre os endereos 8000H e A000H pretende-se ter memria RAM. Tem-se disposio integrados de memria ROM com 8K4 bits, e integrados de memria RAM com 1K8 bits. Desenhe o logigrama do sistema de memria pretendido

V.Lobo @ EN

37 37

HIERARQUIA DE MEMRIAS
Memrias Memrias

Memria Cache Memria principal ou primria Memria secundria, ou em massa

Processador
Cache

Memria Principal (RAM e ROM)


Cache

Memria Secundria (disco)

V.Lobo @ EN

38 38

Page 19
19

Você também pode gostar