Você está na página 1de 99

UNIVERSIDAD TECNOLOGICA DE LA MIXTECA

CONTROL DE VELOCIDAD DE UN MOTOR DE CD A TRAVES DE UN ARREGLO EN CASCADA SEPIC - PUENTE COMPLETO ALIMENTADO CON UN PANEL SOLAR

TESIS PARA OBTENER EL T ITULO DE INGENIERO EN ELECTRONICA

PRESENTA EDEL FERNANDO CUEVAS LOPEZ

DIRECTOR DE TESIS Dr. JESUS LINARES FLORES

HUAJUAPAN DE LEON, OAXACA. JUNIO DE 2010

ii

Tesis presentada en junio de 2010 ante los sinodales: M.C. Jorge Luis Barahona Avalos M.C. Enrique Espinosa Justo M.C. Jacob Vsquez Sanjun a a Director de tesis: Dr. Jess Linares Flores u

iv

Dedicatoria
Para toda mi familia: Mis paps Velia y Edel y mi hermana Karina a Con mucho cario. n Edel.

vi

Agradecimientos
A mis paps por todo el apoyo, amor y compresin que me han dado toda la vida y sin a o el cual no hubiera sido posible la realizacin de esta tesis. o A Selene por sus palabras de aliento y cario, ahh y tambin por sus regaos (muchos) n e n cuando fueron necesarios. A mis amigos de la carrera, el wilo, nacho, el men, wordo, el perro, etc. por los buenos momentos de juerga que pasamos. A los profesores asignados como sinodales Jorge Barahona Avalos, Enrique Espinosa Justo y Jacob Vsquez Sanjun por su colaboracin en la mejora de este trabajo de tesis. a a o Y por ultimo a mi director de tesis el Dr. Jess Linares por el conocimiento y tiempo u invertidos en la realizacin de esta tesis. o

viii

Resumen

Este trabajo de tesis trata sobre un control pasivo indirecto de velocidad angular para el sistema SEPIC-Puente Completo-Motor de CD alimentado v un panel solar. Simultneaa a mente la ley de control regula el voltaje de salida del convertidor SEPIC a un valor nominal mayor al voltaje de salida del panel y la velocidad angular del eje del motor en ambos sentidos de giro, a travs de las constantes de referencia pre-especicadas en el controe lador. El resultado principal de la propuesta es un controlador lineal que se basa en las mediciones de corrientes y voltajes del convertidor tipo SEPIC, y tambin en la medicin e o de la corriente de armadura del motor para poder operar. La ley de control se deriva del uso de la dinmica del error exacto, la cual se obtiene de la retroalimentacin lineal de la a o salida pasiva del sistema. Todas las variables constantes de referencia deseadas se calculan a travs de los puntos de equilibrio del sistema, los cuales quedan en trminos del voltae e je nominal de salida del convertidor SEPIC, la velocidad angular del motor y el voltaje de alimentacin proporcionado por el panel fotovoltaico. La implementacin de la ley de o o controlador promedio se hace mediante un esquema de modulacin PWM. Y nalmente, o se presentan resultados experimentales de la plataforma del sistema de control propuesto.

Indice general
Dedicatoria Agradecimientos Resumen Indice general Indice de guras 1. Introduccin o 1.1. Tipos de paneles solares fotovoltaicos . . . . . . . . . . . . . . . . . . . . . 1.1.1. Panel fotovoltaico de celdas monocristalinas . . . . . . . . . . . . . 1.1.2. Panel fotovoltaico de celdas policristalinas . . . . . . . . . . . . . . 1.1.3. Panel fotovoltaico de celdas amorfas . . . . . . . . . . . . . . . . . . 1.2. Planteamiento del problema . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3. Justicacin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . o 1.4. Hiptesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . o 1.5. Objetivos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.5.1. Objetivo general . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.5.2. Objetivos espec cos . . . . . . . . . . . . . . . . . . . . . . . . . . 1.5.3. Metas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.6. Contenido de la tesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2. Modelado matemtico a 2.1. Modelado del panel fotovoltaico . . . . . . . . . . . . . . . . . . . . . . . .

VII

IX

XI

XV

1 3 3 4 4 4 5 6 6 6 6 6 7 9 9

xii

Indice general 2.1.1. Modelado mediante la simulacin del circuito equivalente del panel o fotovoltaico . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 2.1.2. Modelado mediante las ecuaciones de voltaje y corriente del panel fotovoltaico . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 2.1.3. Acoplamiento del panel con el convertidor SEPIC . . . . . . . . . . 16 2.2. Modelado del convertidor cd-cd SEPIC . . . . . . . . . . . . . . . . . . . . 17 2.2.1. Modelo promedio del convertidor SEPIC . . . . . . . . . . . . . . . 17 2.2.2. Anlisis en estado estable del convertidor SEPIC . . . . . . . . . . 20 a 2.3. Modelo promedio del sistema . . . . . . . . . . . . . . . . . . . . . . . . . 27

3. Control basado en pasividad de sistemas multivariables

33

3.1. Pasividad . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 3.2. Disipatividad . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 3.3. Diseo del controlador por retroalimentacin de la salida pasiva . . . . . . 38 n o 4. Plataforma experimental 49

4.1. Motor elctrico de corriente directa . . . . . . . . . . . . . . . . . . . . . . 50 e 4.2. Convertidor electrnico de potencia tipo SEPIC . . . . . . . . . . . . . . . 51 o 4.2.1. Diseo de los inductores del convertidor . . . . . . . . . . . . . . . 52 n 4.2.2. Seleccin de los capacitores del convertidor . . . . . . . . . . . . . . 54 o 4.2.3. Semiconductores del convertidor . . . . . . . . . . . . . . . . . . . . 55 4.3. Modulador de ancho de pulso (PWM) . . . . . . . . . . . . . . . . . . . . . 56 4.4. Convertidor cd-cd de puente completo . . . . . . . . . . . . . . . . . . . . 59 4.5. Sensores elctricos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59 e 4.6. Controlador por retroalimentacin de la salida pasiva . . . . . . . . . . . . 62 o 4.7. Resultados experimentales . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 5. Conclusiones 71

5.1. Trabajos futuros . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 Bibliograf a A. Sensor de corriente NT-15 B. Tarjeta de adquisicin de datos o 75 79 81

Indice general C. Controlador multivariable

xiii 83

xiv

Indice general

Indice de guras
1.1. Diagrama a bloques del manejador elctrico solar. . . . . . . . . . . . . . . e 5

2.1. Circuito elctrico de la celda fotovoltaica con carga. . . . . . . . . . . . . . 10 e 2.2. Circuito elctrico del panel fotovoltaico con carga. . . . . . . . . . . . . . . 11 e 2.3. Circuito equivalente del panel fotovoltaico en el punto de mxima potencia. 12 a 2.4. Curva VxI obtenida mediante simulacin del modelo del panel. . . . . . . . 12 o 2.5. Curva VxP obtenida mediante la simulacin del modelo del panel. . . . . . 13 o 2.6. Diagrama elctrico del panel fotovoltaico. . . . . . . . . . . . . . . . . . . . 13 e 2.7. Curva VxI obtenida a travs de las ecuaciones de voltaje y corriente. . . . 15 e 2.8. Curva VxP obtenida a travs de las ecuaciones de voltaje y corriente. . . . 15 e 2.9. Convertidor SEPIC conectado con el panel fotovoltaico. . . . . . . . . . . . 16 2.10. Convertidor cd-cd tipo SEPIC. . . . . . . . . . . . . . . . . . . . . . . . . 18

2.11. Circuito equivalente cuando u = 1. . . . . . . . . . . . . . . . . . . . . . . 18 2.12. Circuito equivalente cuando u = 0. . . . . . . . . . . . . . . . . . . . . . . 19 2.13. Formas de onda de corriente y voltaje en el capacitor C2 , con ILmin > Io . . 26 2.14. Sistema propuesto. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 2.15. Convertidor de puente completo acoplado al motor. . . . . . . . . . . . . . 28 2.16. Circuito elctrico equivalente cuando u2 = 1. . . . . . . . . . . . . . . . . . 29 e 2.17. Circuito elctrico equivalente cuando u2 = 1. . . . . . . . . . . . . . . . . 29 e 3.1. Elemento pasivo. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 3.2. Red pasiva . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 4.1. Plataforma experimental . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 4.2. Topolog del convertidor SEPIC. . . . . . . . . . . . . . . . . . . . . . . . 51 a

xvi

Indice de guras

4.3. a) circuito elctrico del sistema en forma promedio. b) anlisis en estado e a estable del circuito del sistema. . . . . . . . . . . . . . . . . . . . . . . . . 52 4.4. Diagrama esquematico para el modulador PWM. . . . . . . . . . . . . . . 58 4.5. Convertidor cd-cd de puente completo . . . . . . . . . . . . . . . . . . . . 59 4.6. Sensor de corriente utilizando un amplicador de instrumentacin. . . . . . 60 o 4.7. Amplicador operacional congurado como amplicador inversor. . . . . . 60 4.8. Sensor de voltaje. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 4.9. Divisor de voltaje utilizado para monitorear vo . . . . . . . . . . . . . . . . 61 4.10. Sensor de velocidad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 4.11. Seales de velocidad, control y corriente de armadura para la funcin (4.13). 63 n o 4.12. Seales del convertidor SEPIC para la funcin (4.13). . . . . . . . . . . . . 64 n o 4.13. Seales de velocidad, control y corriente de armadura para la funcin (4.14). 65 n o 4.14. Seales del convertidor SEPIC para la funcin (4.14). . . . . . . . . . . . . 66 n o 4.15. Seales de velocidad, control y corriente de armadura para la funcin (4.15). 67 n o 4.16. Seales del convertidor SEPIC para la funcin (4.15). . . . . . . . . . . . . 67 n o 4.17. Implementacin de la plataforma experimental . . . . . . . . . . . . . . . . 69 o B.1. Entradas/Salidas de la tarjeta DAQ6025E. . . . . . . . . . . . . . . . . . . 81 C.1. Controlador por retroalimentacin de la salida pasiva del error implemeno tado en Simulink. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

Cap tulo 1 Introduccin o


La energ solar fotovoltaica es una de las tecnolog de energ renovable ms populares, a as a a ya que utiliza la energ que recibimos del sol, la cual se encuentra disponible prcticaa a mente en cualquier lugar. Una celda solar fotovoltaica, con base en el efecto fotoelctrico e convierte la energ que recibe del sol en energ elctrica [10], [18], [32]. La energ solar a a e a fotovoltaica es principalmente utilizada como una fuente de energ alternativa para sisa temas elctricos residenciales o industriales. Adems, otra aplicacin importante del uso e a o de esta fuente de energ es en los sistemas de transporte, sta aplicacin se ha dado a a e o conocer en los veh culos elctricos alimentados por paneles solares los cuales son mene cionados a menudo como una alternativa a los veh culos de combustin interna [7], [11]. o

Por otro lado, la combinacin de convertidores electrnicos de potencia cd-cd/motor de cd o o alimentados a travs de un panel solar es una nueva alternativa para desarrollar sistemas e de movimiento que no necesitan de la energ elctrica convencional para ser operados a e [15]. La utilidad prctica del uso de los convertidores en este tipo de sistemas es en la a transferencia de potencia, es decir la potencia de entrada que viene del panel y se transere a la salida en la carga (motor de cd) de una forma ms eciente. Donde el voltaje de a salida de los convertidores proporciona un voltaje estable requerido a las demandas del motor de cd, ste voltaje siempre est e a ntimamente ligado a el perl de velocidad angular deseado prejado en el controlador desarrollado para el sistema. Las aplicaciones como satlites y una aplicacin poco comn como por ejemplo, un elevador elctrico espacial e o u e han venido utilizando este tipo de sistemas en su control de movimiento [9], [15].

Cap tulo 1. Introduccin o

Los controladores de velocidad por retroalimentacin desarrollados hasta ahora para los o sistemas convertidor cd/cd tipo Cuk-motor de cd o convertidor cd/cd tipo SEPIC-motor de cd alimentados v un panel solar, unicamente controlan al motor en un sentido de a giro, elevando y disminuyendo el voltaje de alimentacin que viene del panel [15]. Estas o topolog utilizadas hasta ahora para la utilizacin eciente del panel y regular la velocias o dad del motor de cd, dan la pauta para poder desarrollar otras topolog combinadas as de convertidores del tipo cd/cd para hacer regulacin del motor en ambos sentidos de giro. o

Por tanto, en este trabajo se desarrolla un controlador indirecto de velocidad para el sistema que acopla en cascada a dos convertidores cd/cd SEPIC-Puente Completo-motor de cd alimentados v un panel, donde a travs de los convertidores de potencia (SEPICa e Puente completo) utilizados como interfaz, se controla el voltaje de salida del convertidor SEPIC, as como la velocidad angular del motor de cd, bajo ciertas condiciones de irra diancia solar. Tanto el voltaje de salida del convertidor SEPIC como la velocidad angular del motor se regulan de forma independiente. Esto con la nalidad de que si el valor nominal del voltaje de salida del panel disminuye, debido a la irradiancia solar, el controlador del convertidor SEPIC mantendr un voltaje a su salida a un valor nominal mayor al a proporcionado por el panel, para tener disponible siempre un voltaje a las exigencias del motor. Mientras que a travs del convertidor puente completo se controlar la direccin e a o de giro del motor, as como tambin la regulacin de velocidad angular del mismo. e o

A este arreglo en cascada del los convertidores SEPIC-Puente completo lo llamaremos convertidor SPC. El objetivo principal de la propuesta de control es conseguir la regulacin o indirecta de la velocidad angular en ambos sentidos de giro del eje del motor bajo ciertas condiciones de irradiancia solar. Para lograr este objetivo el controlador se disea basado n en el modelo dinmico del sistema que acopla a los dos convertidores con el motor de cd a alimentados v el panel solar, donde la variable del voltaje de salida del panel se toma a como entrada de alimentacin variante en el tiempo. La tcnica de control empleada o e en este diseo se basa en la tcnica por retroalimentacin de la salida pasiva del error n e o exacto para sistemas de control multivariable [19]. El control propuesto no necesita del monitoreo de la variable de velocidad angular del motor para poder operar, ya que requiere solamente de la medida de la variables elctricas del convertidor SEPIC y de la medida e

1.1. Tipos de paneles solares fotovoltaicos

de la corriente de armadura del motor, y por supuesto tambin necesita del conocimiento e de los parmetros del sistema. El modelo dinmico promedio multivariable del sistema a a convertidor SPC-Motor de cd obtenido en este trabajo, cumple perfectamente con las propiedades de pasividad y disipatividad para poder emplear la tcnica de control por e retroalimentacin de la salida pasiva del error exacto [21], [22]. Las variables de referencia o del sistema se generan mediante el valor nominal de las variables de estado en un punto de equilibrio. Se utiliza un valor constante deseado para el voltaje de salida del convertidor SEPIC y un valor constante deseado para la velocidad angular del motor. Estas referencias constantes deseadas, a su vez, se emplean para generar las referencias deseadas de las corrientes y voltajes del convertidor SEPIC y la corriente de armadura del motor, as como tambin las entradas de control promedio del convertidor SPC para hacer la regulacin e o de velocidad angular del motor de forma indirecta.

1.1.

Tipos de paneles solares fotovoltaicos

Los paneles solares fotovoltaicos estn formados por un conjunto de celdas cuya funcin a o es producir electricidad a partir de la radiacin solar ya sea directa o difusa. Existen o diferentes tipos de paneles fotovoltaicos en funcin de los materiales semiconductores y los o mtodos de fabricacin que se empleen, existen bsicamente tres tipos: monocristalinos, e o a policristalinos y amorfos, cada uno de ellos posee una eciencia diversa ya que cada material tiene un carcter semiconductor diferente. Los paneles o mdulos fotovoltaicos a o funcionan en base al efecto fotoelctrico, los fotones contenidos en la luz transmiten su e energ a los electrones de los materiales semiconductores que pueden entonces salir del a semiconductor mediante un circuito externo, producindose as la corriente elctrica [29]. e e

1.1.1.

Panel fotovoltaico de celdas monocristalinas

Las celdas monocristalinas se componen de secciones de un unico cristal de silicio, basadas en secciones de una barra de silicio perfectamente cristalizado en una sola pieza. En el laboratorio se han alcanzado rendimientos mximos del 25 % para ste tipo de paneles, a e pero en la realidad los paneles comerciales rondan el 16 % de eciencia en la conversin de o energ solar a energ elctrica. Son los primeros que salieron al mercado y su calidad as a e y potencias obtenidas por unidad de supercie son las ms elevadas de todas. Por el a

Cap tulo 1. Introduccin o

contrario son las ms caras, las ms pesadas y las ms frgiles frente a impactos, aunque a a a a las estructuras en las cuales van montadas ofrecen todo tipo de garant para su correcta as proteccin [29]. o

1.1.2.

Panel fotovoltaico de celdas policristalinas

Los materiales son semejantes a los del tipo anterior aunque en este caso el proceso de cristalizacin del silicio es diferente. Los paneles policristalinos se basan en secciones o de una barra de silicio que se ha estructurado desordenadamente en forma de pequeos n cristales. Son visualmente muy reconocibles por presentar su supercie un aspecto granulado. Las celdas policristalinas proporcionan rendimientos de hasta un 20 % en laboratorio, y de un 15 % de eciencia aproximadamente en los mdulos comerciales. La potencia o obtenida es un poco inferior a las monocristalinas, pero su costo es ms bajo [29]. a

1.1.3.

Panel fotovoltaico de celdas amorfas

Por las caracter sticas f sicas del silicio cristalizado, los paneles fabricados siguiendo esta tecnolog presentan un grosor considerable. Mediante el empleo del silicio con otra esa tructura o de otros materiales semiconductores es posible conseguir paneles ms nos y a verstiles que permiten incluso en algn caso su adaptacin a supercies irregulares. Las a u o celdas basadas en Silicio amorfo no siguen una estructura cristalina alguna. Paneles de este tipo son habitualmente empleados para pequeos dispositivos electrnicos (calculadon o ras, relojes) y en pequeos paneles porttiles, tienen rendimientos mximos alcanzados en n a a laboratorio de hasta un 13 %, siendo el de los mdulos comerciales de alrededor del 8 % o de eciencia [29].

1.2.

Planteamiento del problema

Con el aumento de la contaminacin ambiental debido al uso de combustibles fsiles para o o la generacin de la energ elctrica y con el incremento en el precio de la electricidad, o a e surge la necesidad de utilizar fuentes de energ renovables (energ solar, elica, geotrmia a o e ca, etc.) para disminuir la emisin de contaminantes a la atmsfera y con esto proteger o o el medio ambiente. En los ultimos aos el uso de la energ solar se ha incrementado de n a

1.3. Justicacin o

forma importante en aplicaciones como la iluminacin pblica, satlites, etc., con lo cual o u e la energ solar se convierte en un gran recurso para producir energ elctrica para dichas a a e aplicaciones. En este trabajo se plantea el modelado, diseo e implementacin de un sistema que funn o cione en base a energ solar para el control de un motor de cd accionado mediante dos a convertidores cd-cd en cascada, todo este sistema se regular mediante un controlador a multivariable basado en la tcnica por retroalimentacin de la salida pasiva del error . En e o la gura 1.1 se ilustra un diagrama a bloques del planteamiento del problema.

Figura 1.1: Diagrama a bloques del manejador elctrico solar. e

1.3.

Justicacin o

Debido a que los recursos naturales utilizados para la generacin de energ elctrica estn o a e a disminuyendo rpidamente, el costo de la energ elctrica se ha incrementado, por esto, a a e las energ renovables adquieren una gran importancia para la generacin de electricidad, as o en particular la energ solar. En Mxico existe una insolacin media de 5KW h/m2 que es a e o una de las ms altas del mundo [28], adems la regin Mixteca cuenta con un 95 % de d a a o as soleados al ao, con lo cual, este trabajo aprovechar dichas condiciones climatolgicas n a o de la zona a travs de paneles solares y en conjunto con los convertidores electrnicos de e o potencia (cd-cd) que presentan alta eciencia en la transferencia de potencia, para disear n e implementar un sistema alimentado con energ solar que pueda ser utilizado para a regular la velocidad angular del motor de cd en ambos sentidos de forma independiente a

Cap tulo 1. Introduccin o

la red elctrica o en lugares donde no se cuente con la red elctrica de CFE. e e

1.4.

Hiptesis o

Con la aplicacin de diferentes topolog de convertidores electrnicos de potencia cd-cd o as o (SEPIC y puente completo) conectados en cascada, y haciendo uso de la energ solar y a una tcnica de control adecuada, se disear e implementar el control de velocidad de e n a a un motor de cd.

1.5.
1.5.1.

Objetivos
Objetivo general

Implementar un controlador multivariable para regular la velocidad y direccin de giro de o una mquina de cd a travs de un arreglo en cascada de los convertidores cd-cd SEPIC y a e puente completo alimentado mediante un panel solar.

1.5.2.

Objetivos espec cos

Analizar, disear y simular el sistema convertidor SEPIC-Puente completo-Motor n de cd. Disear y simular un controlador multivariable para la regulacin de velocidad utin o lizando la tcnica por retroalimentacin de la salida pasiva para el sistema SEPICe o Puente completo-Motor de cd.

1.5.3.

Metas

Implementacin del sistema convertidor SEPIC-Puente completo-Motor de cd. o Implementacin del controlador multivariable en Matlab Simulink utilizando la o tcnica por retroalimentacin de la salida pasiva para regular al sistema SEPICe o Puente completo-Motor de cd. Comprobar el correcto funcionamiento de la plataforma experimental con el controlador en lazo cerrado.

1.6. Contenido de la tesis

1.6.

Contenido de la tesis

Este trabajo de tesis presenta la siguiente estructura: En el cap tulo 1 se presenta una breve introduccin sobre energ solar y paneles foo a tovoltaicos, el planteamiento del problema, la justicacin de este trabajo de tesis, la o hiptesis planteada para dar solucin al problema y por ultimo se denen los objetivos a o o cumplir en este trabajo. El cap tulo 2 presenta el modelado matemtico de cada una de las partes que integran a el sistema tal como el modelado del panel fotovoltaico, modelado del convertidor cd-cd SEPIC y el modelado del convertidor cd-cd de puente completo acoplado al motor de cd, por ultimo se hace el acoplamiento de todos los modelos, para nalmente obtener el modelo matemtico promedio de todo el sistema. a El cap tulo 3 proporciona una breve explicacin de los conceptos de pasividad y disipativio dad de sistemas, con la nalidad de vericar y comprobar la propiedad de pasividad del sistema propuesto, adems en este cap a tulo se exponen las bases tericas de la tcnica de o e control por retroalimentacin de la salida pasiva del error para sistemas multivariables. o Y nalmente se disea el controlador multivariable basado en la tcnica por retroalin e mentacin de la salida pasiva para el sistema Convertidor SEPIC-Puente completo-Motor o de cd. En el cap tulo 4 se describe la plataforma experimental del sistema propuesto, esto es, la construccin de los convertidores cd-cd SEPIC y de puente completo, la implementacin o o del controlador en Matlab Simulink, la construccin del modulador PWM, la construccin o o de los sensores utilizados para monitorear las variables que intervienen en el proceso de control y por ultimo se presentan los resultados experimentales. El cap tulo 5 plantea las conclusiones obtenidas al realizar este trabajo de tesis as como tambin los trabajos futuros del mismo. e

Cap tulo 1. Introduccin o

Cap tulo 2 Modelado matemtico a


El contenido del cap tulo presenta el modelado matemtico de cada uno de los compoa nentes que integran el sistema convertidor cd/cd SEPIC - puente completo - motor de cd alimentado por un panel solar. La primera seccin se reere al modelado del panel fotoo voltaico, la segunda seccin trata el modelado en forma promedio del convertidor SEPIC, o as como su anlisis en estado estable y, en la tercera y ultima seccin se obtiene el mo a o delo matemtico en forma promedio de todos los componentes que integran el sistema a debidamente acoplados.

2.1.

Modelado del panel fotovoltaico

En esta seccin se lleva a cabo la caracterizacin del panel fotovoltaico a travs de la hoja o o e de especicaciones proporcionada por el fabricante, esta caracterizacin se realiz medio o ante el circuito elctrico equivalente del panel fotovoltaico en el punto de operacin de e o mxima potencia, tambin esta misma caracterizacin puede hacerse mediante las ecuaa e o ciones que describen la corriente y voltaje del panel, todo esto con la nalidad de obtener una mejor aproximacin del modelo matemtico del panel utilizado en este trabajo. o a

Para la realizacin de este trabajo se utilizaron 4 paneles fotovoltaicos de celdas polio cristalinas conectados en paralelo de la marca Solarex modelo SX50U. Las caracter sticas elctricas de este panel se muestran en la tabla 2.1. e

10

Cap tulo 2. Modelado matemtico a Tabla 2.1: Caracter sticas elctricas del panel SX50U de Solarex1 . e Potencia mxima (Pmax ) a Voltaje en Pmax (Vmpp ) Corriente en Pmax (Impp ) Corriente en corto circuito (Isc ) Voltaje en circuito abierto (Voc ) NOCT2
1

50W 16.8V 2.97A 3.23A 21V 472o C

Las especicaciones de voltaje y corriente estn basadas en mediciones hechas en a condiciones de prueba estndar (STC). Iluminacin de 1KW/m2 en una distribucin a o o espectral de 1.5 AM y una temperatura de 25o C.

Temperatura nominal de funcionamiento de la celda (NOCT) bajo condiciones de operacin estndar, temperatura ambiente 20o C, radiacin solar de 0.8KW/m2 y o a o velocidad del viento de 1m/s.

2.1.1.

Modelado mediante la simulacin del circuito equivalente o del panel fotovoltaico

Al utilizar este mtodo de caracterizacin, es necesario utilizar un modelo que describa e o el comportamiento de una celda del panel fotovoltaico [23], dicho modelo se muestra en la gura 2.1. Siendo Rs la resistencia en serie que se conecta con la carga a travs de e contactos metlicos y Rp la resistencia de los cristales de unin pn que constituyen la a o celda fotovoltaica.

Figura 2.1: Circuito elctrico de la celda fotovoltaica con carga. e El modelo elctrico de la celda fotovoltaica se expresa matemticamente por la siguiente e a ecuacin: o Icell = Iph I0 e AKT (Vload +Icell Rs ) 1
q

Vload + Icell Rs Rp

(2.1)

2.1. Modelado del panel fotovoltaico Icell Corriente de salida de la celda. Iph I0 q A K T Corriente generada por la luz. Corriente de saturacin de la celda. o Carga elctrica. e Constantes ideales. Constante de Boltzmann. Temperatura de la celda en o K.

11

Vload Voltaje de salida de la celda.

La agrupacin de celdas fotovoltaicas forman los mdulos o paneles fotovoltaicos y es o o posible representarlos mediante un circuito elctrico [23] como se muestra en la gura 2.2. e Donde Rsm es la resistencia en serie equivalente mientras que Rpm es la resistencia en paralelo equivalente del mdulo, N P es el nmero de celdas en paralelo, N S es el nmero o u u de celdas en serie e Iphm es la corriente suministrada por la fuente de corriente del panel.

Figura 2.2: Circuito elctrico del panel fotovoltaico con carga. e

En el punto de mxima potencia, se considera que Rpm es mucho mayor que Rsm , la fuente a de corriente del mdulo fotovoltaico Iphm se puede aproximar a la corriente mxima en o a corto circuito Isc y el voltaje del diodo en polarizacin directa VD ms el voltaje de la o a fuente (N S 1)VD se puede aproximar al voltaje mximo en circuito abierto Voc . Por a tanto, el circuito elctrico equivalente obtenido a partir de las aproximaciones hechas e cuando el panel opera en el punto de mxima potencia se muestra en la gura 2.3. a

12

Cap tulo 2. Modelado matemtico a

Figura 2.3: Circuito equivalente del panel fotovoltaico en el punto de mxima potencia. a

A partir del circuito de la gura 2.3, se obtiene el valor de Rsm a travs de la ecuacin e o (2.2). Mientras que el valor de Rpm se obtiene mediante la ecuacin (2.3). o Voc Vmpp 21 16.8 1.41 = Impp 2.97 Voc 21 80.77 = = Isc Impp 3.23 2.97

Rsm = Rpm

(2.2) (2.3)

Una vez obtenidos los valores para Rpm y Rsm se realiza la simulacin del modelo del o panel en el programa ORCAD 9.2. La gura 2.4 muestra la curva caracter stica de voltaje contra corriente (VxI) del panel fotovoltaico obtenida en simulacin a travs del programa o e ORCAD 9.2.

Figura 2.4: Curva VxI obtenida mediante simulacin del modelo del panel. o

Mientras que la gura 2.5 muestra la curva caracter stica de voltaje contra potencia (VxP) obtenida tambin mediante la simulacin del modelo del panel. e o

2.1. Modelado del panel fotovoltaico

13

Figura 2.5: Curva VxP obtenida mediante la simulacin del modelo del panel. o

2.1.2.

Modelado mediante las ecuaciones de voltaje y corriente del panel fotovoltaico

A travs de las ecuaciones elctricas de voltaje y corriente que describen el comportamiene e to dinmico del panel fotovoltaico y con base en el diagrama elctrico mostrado en la gura a e 2.6, se obtienen las siguientes expresiones considerando una temperatura y radiacin solar o constante (vase [10], [24] y [25]): e
Vpv 1 b Voc b 1

I(Vpv ) =

Isc Isc e

1 e( b ) 1 Isc I Ie( b ) + Voc Vpv (I) = b Voc ln Isc


Vpv

(2.4)

(2.5)

P (Vpv ) = Vpv Ipv

Vpv Isc Vpv Isc e b Voc = 1 1 e( b )

1 b

(2.6)

Donde I es la corriente suministrada por el panel, Vpv es el voltaje de operacin del panel, o Voc es el voltaje del panel en circuito abierto, Isc es la corriente del panel en corto circuito, b es la constante caracter stica del panel y P es la potencia de salida del panel, ver tambin e la tabla 2.1.

Figura 2.6: Diagrama elctrico del panel fotovoltaico. e

14

Cap tulo 2. Modelado matemtico a

De la gura 2.6 se observa el capacitor Cin conectado a las terminales del panel fotovoltaico y cuya funcin principal es habilitar al panel como una fuente de voltaje, esto se o desarrolla con ms detalle en la seccin siguiente. a o Debido a que la corriente de salida del panel es la misma que la corriente promedio de entrada del convertidor cd-cd. Por lo tanto, se tiene que la corriente que circula por el capacitor Cin es: dVpv 1 = (I(V pv) I) dt Cin (2.7)

Se calcula la derivada parcial de la potencia de salida del panel con respecto al voltaje Vpv , con la nalidad de obtener la ecuacin de voltaje cuando el panel proporciona la mxima o a potencia, por tanto se tiene:
Vpv

Isc Isc e b Voc P = 1 Vpv 1 e( b )

1 b

Vpv e

Vpv 1 b Voc b Vpv 1 b Voc b

(2.8)

Voc b Voc be

Igualando la ecuacin (2.8) con cero y utilizando el mtodo lineal de coordenadas reo e orientadas se obtiene la siguiente ecuacin que describe el voltaje optimo del panel (ver o [24]): Vop = Voc + bVoc ln b be( b )
1

(2.9)

Ya que se conoce el voltaje ptimo del panel a travs de la ecuacin (2.9), esta ecuacin o e o o sustituye a Vpv en la ecuacin (2.4) para obtener la corriente optima en el panel. Por o tanto, la potencia mxima del panel est dada por: a a Pmax = Vop Iop = Vop Isc Isc e
Vop 1 b Voc b 1

1 e( b )

(2.10)

Por otra parte, para obtener la constante caracter stica del panel b se hace a partir de la ecuacin (2.10), de la cual se despeja b y se obtiene la siguiente ecuacin dada por: o o b=
Vop Voc

1
Iop Isc

(2.11)

ln 1 Donde:

Iop Corriente en el punto de mxima potencia Impp a Vop Voltaje en punto de mxima potencia Vmpp . a

2.1. Modelado del panel fotovoltaico

15

Ahora sustituyendo los valores de voltaje y corriente proporcionados por el fabricante (ver tabla 2.1) en la ecuacin (2.11), se obtiene el valor de b. o b= 1 0.2 = 0.08 2.5195 ln 1 2.97 3.23
16.8 21

Ya calculado el valor de b, este se sustituye en la ecuacin de corriente (2.4) y en la ecuacin o o de voltaje (2.5) y as se obtiene la curva caracter stica de voltaje contra corriente del panel fotovoltaico, la cual se muestra en la gura 2.7. Mientras que la gura 2.8 muestra la curva caracter stica de voltaje contra potencia del panel.

Figura 2.7: Curva VxI obtenida a travs de las ecuaciones de voltaje y corriente. e

Figura 2.8: Curva VxP obtenida a travs de las ecuaciones de voltaje y corriente. e

16

Cap tulo 2. Modelado matemtico a

2.1.3.

Acoplamiento del panel con el convertidor SEPIC

En el punto de mxima potencia el panel fotovoltaico presenta un comportamiento elctria e co dual, es decir, puede funcionar como una fuente de corriente o como una fuente de voltaje. Para que el panel pueda emplearse como una fuente de voltaje es necesario conectar entre sus terminales de salida un capacitor de valor adecuado, esto garantiza que el panel fotovoltaico trabaje como la fuente de voltaje de cd que alimenta al convertidor SEPIC, este capacitor reduce de forma considerable las variaciones del voltaje de salida del panel. La gura 2.9 muestra el acoplamiento a travs del capacitor entre el panel e fotovoltaico y el convertidor SEPIC.

Figura 2.9: Convertidor SEPIC conectado con el panel fotovoltaico. La energ potencial que se almacena en el capacitor Cin , se transforma en energ cintica a a e a travs del inductor L1 (ver gura 2.9). Teniendo en cuenta que todas las variaciones e de energ en la corriente son producidas por el capacitor conectado en paralelo Cin , se a obtiene la siguiente ecuacin [23]: o 1 1 2 2 2 2 Cin (Vmax Vmin ) = L(Imax Imin ) 2 2 Donde: Cin Capacitor conectado en paralelo con el panel. Vmax Variacin mxima de voltaje en la entrada del convertidor SEPIC. o a Vmin Variacin m o nima de voltaje en la entrada del convertidor SEPIC. L Inductor (L1 ) del convertidor SEPIC. Imax Variacin mxima de corriente en la entrada del convertidor SEPIC. o a Imin Variacin m o nima de corriente en la entrada del convertidor SEPIC. (2.12)

2.2. Modelado del convertidor cd-cd SEPIC

17

En trminos de la corriente nominal, voltaje nominal y de sus variaciones se puede obtener e la siguiente ecuacin a partir de la ecuacin (2.12). La cual queda de la siguiente manera: o o Cin = Donde: Vnom Voltaje nominal en la entrada del convertidor SEPIC. V Variacin de voltaje en la entrada del convertidor SEPIC. o Inom Corriente nominal en la entrada del convertidor SEPIC. I Variacin de corriente en la entrada del convertidor SEPIC. o L Inom I Vnom V (2.13)

Sustituyendo los valores correspondientes en la ecuacin (2.13) se obtiene el valor del o capacitor: Cin = (1 103 ) (11.9) (0.239) 141F (16.8) (1.2)

2.2.

Modelado del convertidor cd-cd SEPIC

En esta seccin se obtiene el modelo matemtico en forma promedio del convertidor cdo a cd tipo SEPIC y tambin se hace un anlisis del mismo en estado estable, esto con la e a nalidad de obtener las ecuaciones caracter sticas del convertidor y as poder calcular los valores necesarios de inductancia y capacitancia para asegurar que el convertidor trabaje en el modo de conduccin continua (mcc). Y con ello se disea al convertidor con un error o n de corriente y voltaje pequeo para que el modelo promedio tenga un menor margen de n error en el circuito elctrico f e sico (vase [12], [16]). e

2.2.1.

Modelo promedio del convertidor SEPIC

En la gura 2.10 se muestra el circuito elctrico del convertidor SEPIC redibujado de una e forma ms simple reemplazando el transistor MOSFET por un interruptor de uso general a de un polo y dos posiciones, esto con la nalidad de facilitar el anlisis del circuito. a

18

Cap tulo 2. Modelado matemtico a

Figura 2.10: Convertidor cd-cd tipo SEPIC. Con base en el circuito elctrico mostrado en la gura 2.10 se puede obtener un circuito e equivalente al colocar el interruptor en la posicin u = 1, dicho circuito equivalente se o muestra en la gura 2.11.

Figura 2.11: Circuito equivalente cuando u = 1. Ahora aplicando la ley de voltajes de Kirchho (LVK) al circuito de la gura 2.11, se obtienen las siguientes ecuaciones diferenciales: diL1 = vin dt diL2 L2 = v1 dt L1 (2.14) (2.15)

mientras que, utilizando la ley de corrientes de Kirchho (LCK) aplicada al mismo circuito, se obtienen las siguientes ecuaciones diferenciales: C1 dv1 = iL2 dt dvo vo C2 = dt R (2.16) (2.17)

Ahora, cuando se selecciona la posicin del interruptor en u = 0 en el circuito de la gura o 2.10, se obtiene el circuito equivalente mostrado en la gura 2.12.

2.2. Modelado del convertidor cd-cd SEPIC

19

Figura 2.12: Circuito equivalente cuando u = 0.

Analizando el circuito elctrico equivalente de la gura 2.12 mediante la LVK y la LCK e se obtienen las siguientes ecuaciones diferenciales: diL1 dt diL2 L2 dt dv1 C1 dt dvo C2 dt

L1

= vin v1 vo = vo = iL1 = vo + (iL1 + iL2 ) R

(2.18) (2.19) (2.20) (2.21)

El modelo matemtico que describe el comportamiento dinmico del convertidor SEPIC a a mostrado en la gura 2.10, est dado de la siguiente forma: a diL1 dt diL2 L2 dt dv1 C1 dt dvo C2 dt L1

= vin (1 u)(v1 + vo ) = uv1 (1 u)vo = uiL2 + (1 u)iL1 = vo + (1 u)(iL1 + iL2 ) R

(2.22) (2.23) (2.24) (2.25)

Donde u {0, 1} representa la entrada de control del convertidor, la cual pertenece a un conjunto discreto. Las ecuaciones (2.22) a (2.25) representan el modelo matemtico a del convertidor en su forma discontinua en el tiempo, si se considera un valor promedio en la entrada de control en cada periodo de conmutacin del interruptor se tiene que la o entrada de control promedio uav [0, 1], por lo tanto, las ecuaciones diferenciales del

20

Cap tulo 2. Modelado matemtico a

modelo promedio que describen el comportamiento dinmico del convertidor SEPIC son: a diL1 dt diL2 L2 dt dv1 C1 dt dvo C2 dt L1 = vin (1 uav )(v1 + vo ) = uav v1 (1 uav )vo = uav iL2 + (1 uav )iL1 = vo + (1 uav )(iL1 + iL2 ) R (2.26) (2.27) (2.28) (2.29)

2.2.2.

Anlisis en estado estable del convertidor SEPIC a

Una parte importante en el desarrollo de este trabajo es el anlisis del convertidor en a estado estable, esto debido a la poca informacin disponible con respecto a dicho anlisis. o a Para obtener las ecuaciones caracter sticas en estado estable del convertidor, se asume que en todos los componentes del convertidor no existen prdidas. El convertidor produce e una salida de cd la cual es denotada como vo (t) y est compuesta por un voltaje deseado a de cd y por componentes de ca no deseados. El voltaje de rizo de salida debido a la conmutacin es muy pequeo comparado con el nivel del voltaje de salida de cd. Debido o n a esto se asume que el voltaje de rizo de salida es despreciable por ser muy pequeo, por n lo tanto, se tiene que vo (t) = vo . El anlisis se basar en la operacin del convertidor SEPIC en estado estable, es decir, las a a o corrientes y voltajes del convertidor tienden a alcanzar sus valores en estado estable. Las suposiciones para realizar el anlisis en estado estable se pueden resumir de la siguiente a forma: 1. Dado que se asume que no existen prdidas en los componentes del convertidor e (componentes ideales), la potencia promedio de entrada Pin y la potencia promedio de salida Po son iguales. Pin = Po 2. Se asume que en operacin en estado estable, la corriente en el inductor y el voltaje o en el capacitor son peridicos en un ciclo de conmutacin. o o iL (t0 ) = iL (t0 + T ) vc (t0 ) = vc (t0 + T ) donde t0 es el tiempo inicial de conmutacin y T es el periodo de conmutacin. o o

2.2. Modelado del convertidor cd-cd SEPIC

21

3. Ya que los inductores y capacitores se consideran como componentes ideales, el voltaje promedio en el inductor y la corriente promedio en el capacitor son cero. 1 VL = T 1 Ic = T
T +t0

vL (t)dt = 0
t0 T +t0

(2.30)

ic (t)dt = 0
t0

(2.31)

Las ecuaciones (2.30) y (2.31) indican que el total de energ almacenada en el inductor y a en el capacitor en un ciclo completo de conmutacin es igual a cero, con t0 = 0. Por otra o parte, D se conoce como el ciclo de trabajo y se dene de la siguiente manera: D= Tencendido T

El transistor de potencia MOSFET se encuentra en estado de encendido por un periodo de tiempo DT y despus pasa al estado de apagado en el tiempo restante del periodo de e conmutacin (1 D)T . Dependiendo si el transistor se encuentra en estado de encendido o o apagado se tienen dos modos de operacin del convertidor, primero se considera el modo o de operacin 1, que es cuando el interruptor se encuentra en estado de encendido (u = 1) o como se muestra en la gura 2.11.

Ahora integrando la ecuacin (2.14) de t = 0 a t con IL1 (0) como condicin inicial, se o o obtiene: iL1 (t) = vin t + IL1 (0) L1 (2.32)

Como se mencion anteriormente, el voltaje promedio en el inductor en un ciclo de cono mutacin en estado estable es igual a cero con lo cual surge la siguiente relacin: o o v1 = vin (2.33)

Sustituyendo la ecuacin (2.33) en (2.15) e integrando de t = 0 a t con IL2 (0) como o condicin inicial, se obtiene: o iL2 (t) = vin t + IL2 (0) L2
vin L1

(2.34)

Las ecuaciones (2.33) y (2.34) indican que la corriente en los inductores L1 y L2 se cargan linealmente con una pendiente positiva de y
vin L2

respectivamente, donde IL1 (0)

e IL2 (0) es el valor inicial de la corriente en el inductor en t = 0 cuando el interruptor se

22 encuentra en estado de encendido.

Cap tulo 2. Modelado matemtico a

El modo de operacin 2 se establece cuando el interruptor cambia al estado de apagado o (u = 0) en t = DT , el circuito elctrico equivalente resultante es mostrado en la gura e 2.12. Ahora sustituyendo la ecuacin (2.33) en (2.18) e integrando despus de t = DT a o e t con la condicin inicial IL1 (DT ) se obtiene: o iL1 (t) = vo (t DT ) + IL1 (DT ) L1 (2.35)

Integrando la ecuacin (2.19) de t = DT a t con la condicin inicial IL2 (DT ) se obtiene: o o iL2 (t) = vo (t DT ) + IL2 (DT ) L2 (2.36)

Las ecuaciones (2.35) y (2.36) indican que la corriente en los inductores L1 y L2 comienzan
v a descargarse en t = DT con una pendiente de Lo 1 v y Lo 2

respectivamente, donde

IL1 (DT ) e IL2 (DT ) es el valor inicial de la corriente del inductor cuando el interruptor se encuentra en estado de apagado. Cuando el convertidor est operando en estado estable se tiene que: a IL (0) = IL (T ) Entonces, evaluando (2.32) y (2.34) en t = DT y (2.35) y (2.36) en t = T , se obtienen las siguientes ecuaciones que describen el comportamiento de las corrientes IL1 e IL2 en estado estable. vin DT + IL1 (0) L1 vin IL2 (DT ) = DT + IL2 (0) L2 vo IL1 (0) = (1 D)T + IL1 (DT ) L1 vo IL2 (0) = (1 D)T + IL2 (DT ) L2 IL1 (DT ) = (2.37) (2.38) (2.39) (2.40)

Donde IL (0) = ILmin e IL (DT ) = ILmax que son los valores de la corriente en el inductor en los instantes de tiempo en que el interruptor se encuentra en estado de encendido y apagado en un periodo de tiempo.

2.2. Modelado del convertidor cd-cd SEPIC

23

Sustituyendo la ecuacin (2.37) en (2.39), se deriva la siguiente relacin de voltaje del o o convertidor elctrico, la cual esta denida de la siguiente forma: e IL1 (0) = vo vin (1 D)T + DT + IL1 (0) L1 L1

= vo (1 D) + Dvin vo D = vin 1D donde D [0, 1]. (2.41)

El valor promedio de la corriente de entrada del convertidor elctrico se calcula de la e siguiente manera: Iin = IL1max + IL1min 2 (2.42)

De acuerdo con la suposicin hecha anteriormente de que las potencias promedio de eno trada y de salida son iguales, se tiene: Pin = Po vin Iin = Io vo Iin = v2 Io vo = o vin vin R (2.43)

Para calcular el valor de la corriente mxima en el inductor L1 (IL1max ), se tienen que a despejar de (2.42) y luego sustituir Iin e IL1min por (2.43) y (2.39) respectivamente, con lo cual se tiene: IL1max =
2 vo 2vo + (1 D)T IL1max vin R L1 vo v2 = o + (1 D)T vin R 2L1

Despejando vo de (2.41) y sustituyendo se tiene: D2 vin Dvin + T 2 R(1 D) 2L1 D T = Dvin + R(1 D)2 2L1 =

(2.44)

24

Cap tulo 2. Modelado matemtico a

De igual manera se calcula el valor de IL1min , la cual queda de la siguiente forma: IL1min =
2 2vo vo (1 D)T IL1min vin R L1 vo v2 (1 D)T = o vin R 2L1 D2 vin Dvin T = 2 R(1 D) 2L1 D T = Dvin 2 R(1 D) 2L1

(2.45)

Ahora a partir de las ecuaciones (2.44) y (2.45) se puede calcular la corriente de rizo en el inductor L1 , la cual queda de la siguiente forma: IL1 = IL1max IL1min = Dvin = D T + 2 R(1 D) 2L1 Dvin D T 2 R(1 D) 2L1

Dvin (2.46) L1 f El valor promedio de la corriente de salida del convertidor est denido por la siguiente a ecuacin: o IL2max + IL2min vo = (2.47) 2 R El valor de las corrientes mxima y m a nima en el inductor L2 del convertidor, se obtienen Io = de manera similar a las de L1 y quedan de la siguiente forma: 2vo vo IL2max = + (1 D)T IL2max R L2 vo vo = + (1 D)T R 2L2 Dvin Dvin = + T R(1 D) 2L2 1 T = Dvin + R(1 D) 2L2 Y para IL2min se tiene: IL2min = 2vo vo (1 D)T IL2min R L2 vo vo (1 D)T = R 2L2 Dvin Dvin = T R(1 D) 2L2 1 T = Dvin R(1 D) 2L2

(2.48)

(2.49)

2.2. Modelado del convertidor cd-cd SEPIC

25

La corriente de rizo en el inductor L2 se calcula de igual manera que para el inductor L1 , la cual queda como sigue: IL2 = IL2max IL2min = Dvin = Dvin L2 f T 1 + R(1 D) 2L2 Dvin 1 T R(1 D) 2L2 (2.50)

El valor cr tico de las inductancias L1 y L2 del convertidor hacen que este trabaje o no en el modo de conduccin continua (mcc). Para esto, el valor m o nimo de inductancia de L1 y L2 necesario para mantener al convertidor operando en el modo de conduccin continua se establece de las ecuaciones (2.45) y (2.49), donde IL1min = IL2min = 0 o y se resuelve para encontrar los valores cr ticos de las inductancias L1 y L2 . Para L1 cr tica se tiene: Dvin L1crit Y para L2 cr tica se tiene: Dvin L2crit 1 T R(1 D) 2L2 T R(1 D) = 2 =0 (2.52) D T 2 R(1 D) 2L1 2 T R(1 D) = 2D =0 (2.51)

Para calcular el voltaje de rizo de salida en el capacitor C2 , se asume que la corriente en la carga es completamente de cd y que la corriente en la misma, es igual a la corriente en el capacitor en el intervalo cerrado de 0 t < DT : ic = Io (2.53)

Mientras que en el intervalo de DT t < T la corriente a travs del capacitor est dada e a por la siguiente expresin: o ic = iL Io (2.54)

Las formas de onda de la corriente y el voltaje en el capacitor C2 se muestran en la gura 2.13, partiendo de la grca de la corriente en el capacitor se obtiene la expresin que a o

26 describe a ic (t), la cual est dada por: a ic (t) =

Cap tulo 2. Modelado matemtico a

ILmin ILmax (t DT ) + Ic (DT ) (T DT ) IL = (t DT ) + Ic (DT ) (1 D)T

DT t < T

Figura 2.13: Formas de onda de corriente y voltaje en el capacitor C2 , con ILmin > Io . Como Ic (DT ) es el valor inicial de ic (t) en t = DT entonces, la ecuacin (2.54) se evala o u en t = DT y se obtiene lo siguiente: Ic (DT ) = IL (DT ) Io = ILmax = = ILmax IL 2 ILmax + ILmin 2 ILmin 2

Ahora la expresin matemtica para ic (t) queda de la siguiente forma: o a ic (t) = IL IL (t DT ) + (1 D)T 2 DT t < T (2.55)

2.3. Modelo promedio del sistema El voltaje en el capacitor para 0 t < DT est dado por la siguiente ecuacin: a o vc (t) = 1 C
DT

27

Io dt + Vc (0)
0

Io t + Vc (0) C

(2.56)

Para DT t < T el voltaje en el capacitor esta dado por: 1 vc (t) = C IL IL (t DT ) + dt + Vc (DT ) 2 DT (1 D)T IL IL = (t DT )2 + (t DT ) + Vc (DT ) 2C(1 D)T 2C
T

(2.57)

donde Vc (0) y Vc (DT ) es el voltaje inicial del capacitor en t = 0 y t = DT respectivamente. Debido a que el voltaje promedio en el capacitor es el voltaje de salida vo y con ayuda de la grca de voltaje del capacitor de la gura 2.13, se puede obtener el valor para Vc (0) y a para Vc (DT ), los cuales son: Io DT 2C Io DT Vc (DT ) = vo 2C Vc (0) = vo + En la grca de voltaje en el capacitor se puede observar que Vc = a variacin en el voltaje de salida est dado por: o a vo = Vc = vo DT Io DT = C RC (2.60)
Io DT , C

(2.58) (2.59) entonces la

Por lo tanto, el voltaje de rizo de salida queda se la siguiente forma: vo DT D = = vo RC RCf (2.61)

2.3.

Modelo promedio del sistema

En la gura 2.14 se muestra el diagrama general del sistema propuesto para la conversin o de energ solar a energ mecnica. Este diagrama est compuesto por un panel fotoa a a a voltaico, un convertidor cd-cd tipo SEPIC, un convertidor cd-cd de puente completo y un motor de cd.

28

Cap tulo 2. Modelado matemtico a

Figura 2.14: Sistema propuesto. Como se puede observar del diagrama elctrico mostrado en la gura 2.14, el acoplamiene to entre el panel fotovoltaico y el convertidor cd-cd tipo SEPIC se hace a travs de un e capacitor. Esto con la nalidad de utilizar el panel como una fuente de voltaje de cd, (vase [23]), mientras que el convertidor cd-cd de puente completo se conecta en cascae da al convertidor SEPIC y el motor de cd se conecta entre las ramas del convertidor de puente completo.

La topolog propuesta en la gura 2.14 presenta la ventaja de hacer la regulacin de a o velocidad del motor en ambos sentidos de giro, a diferencia del trabajo publicado en [15], que solo hacen la regulacin de velocidad en un solo sentido de giro del motor. Por otra o parte, puesto que se ha calculado el modelo matemtico promedio del convertidor SEPIC a en la seccin anterior, solo nos enfocaremos en la parte del convertidor de puente completo o acoplado con el motor de cd. Para hacer ms sencillo el anlisis se redibuja el convertidor a a de puente completo sustituyendo los transistores de potencia MOSFET por interruptores de un polo y dos posiciones como se muestra en la gura 2.15.

Figura 2.15: Convertidor de puente completo acoplado al motor. Basados en el circuito de la gura 2.15 se obtiene el circuito elctrico equivalente el cual e

2.3. Modelo promedio del sistema se muestra en la gura 2.16, los interruptores se cierran cuando u2 = 1.

29

Figura 2.16: Circuito elctrico equivalente cuando u2 = 1. e Aplicando la ley de voltajes de Kirchho en el circuito de la gura 2.16, se obtiene la siguiente ecuacin diferencial: o La dia = vo Ra ia Ke dt (2.62)

Ahora aplicando la ley de corrientes de Kirchho al mismo circuito, se obtiene lo siguiente: C2 dvo vo = + (1 u1 )(iL1 + iL2 ) ia dt R (2.63)

Cuando los interruptores se abren en u2 = 1 en el circuito de la gura 2.15, se obtiene el siguiente circuito equivalente mostrado en la gura 2.17.

Figura 2.17: Circuito elctrico equivalente cuando u2 = 1. e Aplicando nuevamente la ley de voltajes y de corrientes de Kirchho al circuito equivalente de la gura 2.17 se obtienen las siguientes ecuaciones diferenciales: dia = vo Ra ia Ke dt dvo vo C2 = + (1 u1 )(iL1 + iL2 ) + ia dt R La (2.64) (2.65)

Con las ecuaciones (2.62) a (2.65) el modelo matemtico que describe el comportamiento a dinmico de esta parte del sistema (convertidor de puente completo acoplado al motor a

30

Cap tulo 2. Modelado matemtico a

de cd) est casi completo, solo hace falta agregar la ecuacin diferencial que describe a o la dinmica de la parte mecnica del motor de cd mediante la segunda ley de Newton. a a Agregando esta ecuacin, el modelo matemtico queda de la siguiente forma: o a dia = Ra ia Ke + u2 vo dt dvo vo C2 = + (1 u1 )(iL1 + iL2 ) ia u2 dt R d = Kt ia Bf J dt La (2.66) (2.67) (2.68)

Donde u2 {1, 1} es la entrada de control del convertidor de puente completo que pertenece a un conjunto discreto. De igual forma que en el convertidor SEPIC si se considera un valor promedio en la entrada de control, esta entrada queda como u2av [1, 1], entonces las ecuaciones diferenciales del modelo promedio quedan de la siguiente forma: dia = Ra ia Ke + u2av vo dt vo dvo = + (1 u1av )(iL1 + iL2 ) ia u2av C2 dt R d J = Kt ia Bf dt La (2.69) (2.70) (2.71)

Una vez calculado el modelo promedio del convertidor de puente completo conectado con el motor ((2.69) a (2.71)) y con el modelo promedio del convertidor SEPIC ((2.26) a (2.29)) se obtiene el modelo promedio del sistema no lineal multivariable de dos entradas de control u1av y u2av y dos salidas de inters a controlar vo y , el cual est dado por: e a diL1 dt diL2 L2 dt dv1 C1 dt dvo C2 dt dia La dt d J dt L1 = vin (1 u1av )(v1 + vo ) = u1av v1 (1 u1av )vo = u1av iL2 + (1 u1av )iL1 = vo + (1 u1av )(iL1 + iL2 ) ia u2av R (2.72) (2.73) (2.74) (2.75) (2.76) (2.77)

= Ra ia Ke + u2av vo = Kt ia Bf

Mediante el modelo dinmico propuesto (2.72 a 2.77), puede hacerse la conversin de a o energ solar a energ mecnica a travs de las dos entradas de control u1av y u2av . Donde a a a e la entrada de control u1av regula el voltaje de salida del convertidor SEPIC, mientras que

2.3. Modelo promedio del sistema

31

la entrada de control u2av regula la velocidad angular del motor y manipula el sentido de giro del mismo. Este modelo dinmico propuesto ser de utilidad para el diseo de a a n un controlador multivariable por retroalimentacin que regular de forma adecuada las o a variables de inters tales como: el voltaje de salida del convertidor SEPIC y la velocidad e angular del motor de cd. Todo esto, se tratar a detalle en el cap a tulo 3.

32

Cap tulo 2. Modelado matemtico a

Cap tulo 3 Control basado en pasividad de sistemas multivariables


En este cap tulo se presenta el anlisis y diseo de un controlador promedio para el sistema a n propuesto convertidor SEPIC - convertidor puente completo - motor de cd, basado en la tcnica por retroalimentacin de la salida pasiva. Las primeras dos secciones abordan los e o conceptos de pasividad y disipatividad de los sistemas no lineales, asimismo se verican estos conceptos en el sistema no lineal propuesto. Mientras que en la tercera seccin se o tratan los fundamentos del control por retroalimentacin de la salida pasiva para sistemas o no lineales multivariables y, nalmente a travs de esta tcnica se hace el diseo del e e n controlador del sistema convertidor SEPIC - convertidor puente completo - motor de cd.

3.1.

Pasividad

Antes de comenzar a introducir el concepto de pasividad para sistemas no lineales, es conveniente recordar algunos conocimientos de circuitos elctricos para poder entender e con mayor facilidad el concepto de pasividad. Recordando los principios de f sica bsica a se dene a la potencia como la velocidad a la cual la energ es gastada o consumida con a lo cual se tiene lo siguiente: p(t) = donde: p(t) Potencia. dw(t) dt (3.1)

34 w(t) Energ a.

Cap tulo 3. Control basado en pasividad de sistemas multivariables

Por tanto, la energ puede expresarse de la siguiente forma: a


t

w(t) =
t0

p(t)dt

(3.2)

En la gura 3.1 se representa un circuito elctrico como una caja negra donde el voltaje e a travs de las terminales de alimentacin se denota como v y la corriente que sale de e o la fuente de alimentacin hacia la caja se denota por i. La direccin de la corriente y la o o polaridad del voltaje son arbitrarias, por tanto, se tiene que: p(t) = v(t)i(t) (3.3)

Figura 3.1: Elemento pasivo. Por lo tanto, la energ consumida por el circuito en el tiempo t es: a
t 0 t

w(t) =

v(t)i(t)dt =

v(t)i(t)dt +
0 1

v(t)i(t)dt
2

(3.4)

El primer trmino de la ecuacin (3.4) representa el efecto de las condiciones iniciales e o diferentes de cero en los elementos del circuito. Por medio la convencin de signos se o tiene: Si w(t) > 0, entonces el elemento representado por la caja negra consume energ a. Si w(t) < 0, entonces el elemento representado por la caja negra suministra energ a De la teor de circuitos elctricos, los elementos que no generan su propia energa se a e denominan pasivos. Un elemento en un circuito elctrico es pasivo si se cumple que: e
t

v(t)i(t)dt 0

(3.5)

3.1. Pasividad

35

Figura 3.2: Red pasiva De ah que los elementos en un circuito elctrico como inductores, capacitores y resisten, e cias satisfacen esta condicin, por lo tanto se denominan elementos pasivos (ver [22]). o En la gura 3.2 se muestra un circuito elctrico, donde se asume que la caja negra contiene e un elemento pasivo lineal o no lineal, aplicando la ley de voltajes de Kirchho al circuito se obtiene: e(t) = i(t)R + v(t) (3.6)

Ahora, se asume que la fuente de voltaje e(t) es una fuente de energ nita dada por: a
T

e2 (t)dt <
0

entonces se tiene:
T T

e2 (t)dt =
0 0

(i(t)R + v(t))2 dt
T T T

= R2
0

i2 (t)dt + 2R
0

v(t)i(t)dt +
0 T 0 T

v 2 (t)dt

Y dado que la caja negra representa un elemento pasivo


T T

v(t)i(t)dt > 0 se tiene que:

e (t)dt R
0

2 0 T 0

i (t)dt +
0

v 2 (t)dt

Ya que el voltaje aplicado es tal que

e2 (t)dt < , se pueden poner limites cuando

T en ambos lados de la ecuacin, la cual queda de la siguiente forma: o


T T T

2 0

i (t)dt +
0

v (t)dt
0

e2 (t)dt <

Esto implica que tanto, i, como, v, tienen energ nita, esto indica que la energ en estas a a dos variables se controlan desde la fuente de voltaje de alimentacin e(t). o

36

Cap tulo 3. Control basado en pasividad de sistemas multivariables

3.2.

Disipatividad

Si se considera un sistema no lineal af representado en su forma general de espacio de n estados como: x = f (x) + g(x)u y = h(x) donde: x Variable de estado tal que x X Rn . u Entrada de control tal que u U R. y Funcin escalar de salida tal que y Y R. o La regin X Rn se conoce como la regin de operacin del sistema, mientras que la o o o funcin de abastecimiento est denida como s(u, y) : U Y R. o a El sistema no lineal representado en (3.7) se dice que es disipativo con respecto a la funcin de abastecimiento s(u, y), si existe una funcin : X R+ llamada funcin de o o o almacenamiento, tal que para todo x0 X y para todas las funciones de entrada u U se cumple la siguiente relacin: o
t1

(3.7)

(x(t1 )) (x(t0 )) +
t0

s(u(t), y(t))dt

(3.8)

con x(t0 ) = x0 y x(t1 ) es la variable de estado resultante en el tiempo t1 , de la solucin del o sistema (3.7) tomando como condicin inicial a x0 y como entrada de control a la funcin o o u(t). Si es diferenciable con respecto al tiempo entonces se tiene lo siguiente: s(u, h(x, u)) con h(x, u) como la salida del sistema (3.7). (3.9)

Un sistema no lineal es pasivo cuando este es disipativo con respecto a la funcin de o abastecimiento s(u, y) = uy, es decir, cuando el sistema cumple con la desigualdad (3.9). Adems, cuando la ecuacin (3.9) se vuelve estrictamente una igualdad, el sistema es a o entonces un sistema sin prdidas (vase [2]). e e

3.2. Disipatividad

37

Para probar si el sistema no lineal obtenido en el cap tulo 2 del sistema propuesto, cumple con ser pasivo disipativo. Bastar con demostrar que es disipativo con respecto a la funa cin de abastecimiento s(u, y) = uy, es decir, cuando el sistema cumple con la desigualdad o (3.9). Del modelo promedio del sistema ((2.72) a (2.77)) obtenido en el cap tulo 2, y para una mayor facilidad de anlisis se considera que el motor gira en una sola direccin a velocidad a o mxima, es decir u2 = 1, por lo tanto el modelo promedio del sistema queda de la siguiente a forma: diL1 dt diL2 L2 dt dv1 C1 dt dvo C2 dt dia La dt d J dt L1 expresin: o 1 1 1 1 1 1 2 2 = L1 i2 + L2 i2 + C1 v1 + C2 vo + La i2 + J 2 (3.16) L1 L2 a 2 2 2 2 2 2 Para demostrar que el sistema propuesto es pasivo disipativo, se debe cumplir que la potencia de salida del sistema es menor que la potencia de entrada del mismo (Pout < Pin ), entonces derivando la ecuacin (3.16) con respecto al tiempo tenemos que la potencia de o salida esta dada por: d diL1 diL2 div1 dvo dia d = L1 iL1 + L2 iL2 + C1 v1 + C2 vo + La ia + J dt dt dt dt dt dt dt obtiene lo siguiente: d =(vin (1 u1av )(v1 + vo ))iL1 + (u1av v1 (1 u1av )vo )iL2 + dt (u1av iL2 + (1 u1av )iL1 )v1 + (Ra ia Ke + vo )ia + vo ( + (1 u1av )(iL1 + iL2 ) ia )vo + (Ke ia Bf ) R (3.17) = vin (1 u1av )(v1 + vo ) = u1av v1 (1 u1av )vo = u1av iL2 + (1 u1av )iL1 = vo + (1 u1av )(iL1 + iL2 ) ia R (3.10) (3.11) (3.12) (3.13) (3.14) (3.15)

= Ra ia Ke + vo = Ke ia Bf

La ecuacin de energ del sistema o funcin de almacenamiento est dada por la siguiente o a o a

Ahora sustituyendo las ecuaciones del modelo (3.10) a (3.15) en la ecuacin (3.17) se o

(3.18)

38

Cap tulo 3. Control basado en pasividad de sistemas multivariables

Desarrollando la ecuacin (3.18) y eliminando trminos se tiene la ecuacin de la potencia o e o total del sistema: d v2 = Pout = vin iL1 Ra i2 o Bf 2 a dt R (3.19)

Ya que la potencia de entrada del sistema esta dada por Pin = vin iL1 , entonces se tiene que: vin iL1 Ra i2 a
2 vo Bf 2 < vin iL1 R

(3.20)

Como se puede observar en la ecuacin (3.20) la potencia de salida es menor que la o potencia de entrada del sistema con lo cual queda demostrado que el sistema es pasivo disipativo ya que cumple con la desigualdad (3.9).

3.3.

Dise o del controlador por retroalimentacin de n o la salida pasiva

Para disear el controlador por retroalimentacin de la salida pasiva, se considera el n o modelo en forma promedio del sistema (2.72) a (2.77) obtenido en el cap tulo anterior, y debido a que se trata de un motor de corriente directa de imanes permanentes entonces Ke = Kt = K (vase [4]), por lo tanto el modelo del sistema queda de la siguiente manera: e L1 diL1 dt diL2 L2 dt dv1 C1 dt dvo C2 dt dia La dt d J dt = vin (1 u1av )(v1 + vo ) = u1av v1 (1 u1av )vo = u1av iL2 + (1 u1av )iL1 = vo + (1 u1av )(iL1 + iL2 ) ia u2av R (3.21) (3.22) (3.23) (3.24) (3.25) (3.26)

= Ra ia K + u2av vo = Kia Bf

donde: vin Voltaje suministrado por el panel fotovoltaico. iL1 Corriente en el inductor L1 del convertidor SEPIC. iL2 Corriente en el inductor L2 del convertidor SEPIC. v1 Voltaje en el capacitor C1 del convertidor SEPIC.

3.3. Diseo del controlador por retroalimentacin de la salida pasiva n o vo Voltaje en el capacitor C2 del convertidor SEPIC. ia Corriente de armadura del motor de cd. Velocidad angular en el eje del motor. u1av Entrada de control promedio del convertidor SEPIC. u2av Entrada de control promedio del convertidor de Puente completo.

39

Ahora utilizando una notacin matricial, el sistema no lineal descrito por (3.21)-(3.26) se o puede representar en su forma pasiva de la siguiente manera: Ax = (J(uav ) R)x + Buav + (t) donde: A x R B uav (t) Matriz simtrica constante denida positiva. e Vector de estados n-dimensional. Matriz simtrica constante semidenida positiva. e Matriz constante de entrada de control. Vector de la entrada de control promedio. Vector de entrada de alimentacin n-dimensional. o (3.27)

J(uav ) Matriz antisimtrica dependiente de uav . e

La matriz J(uav ) para toda uav tiene la siguiente forma:


m

J(uav ) = J0 +
i=1

Ji uiav

(3.28)

Las matrices Ji con i = 1, 2, . . . , m son antisimtricas constantes, mientras que B es una e matriz constante de nm que en trminos de vectores columna n-dimensionales, la matriz e B esta dada por B = [b1 , b2 , . . . , bm ]. El vector uav se supone que es m-dimensional donde cada componente de uiav toma valores en un intervalo cerrado de [1, 1] del eje real. La matriz R representa el campo disipativo del sistema, mientras que la matriz J(uav ) representa el campo conservativo del sistema. Los canales de entrada de control se representan con la matriz constante B, en tanto que, el vector (t) representa las fuentes de entrada externas como son bater o l as neas de voltaje de cd.

40

Cap tulo 3. Control basado en pasividad de sistemas multivariables

De (3.27) y con base en el modelo promedio se obtiene el vector de estados y la matriz A, los cuales estn dados por: a xT = i1 i2 v1 vo ia A = diag L1 L2 C1 C2 La J

Ya que la matriz R, es la parte disipativa del sistema y con base en el modelo se tiene lo siguiente: R = diag 0 0 0
1 R

Ra Bf

(3.29)

Para calcular la matriz J(uav ) que es la parte conservativa del sistema, se desarrolla la ecuacin (3.28) con m = 2 la cual queda como: o
2

J(uav ) = J0 +
i=1

Ji uiav = J0 + J1 u1av + J2 u2av

donde J0 es la matriz que se compone de trminos que no dependen de las variables de e entrada de control, por tanto se tiene que:
0 0 1 1 0 0

J(uav ) =

0 0 0 1 0 0

1 0 0 0 0 0

1 1 0 0 0 0

0 0 u1av u1av 0 0 0 0 u1av u1av 0 0 0 0 0 + u1av u1av 0 0 0 u1av u1av 0 0 0 K 0 0 0 0 K 0 0 0 0 0


0 (1 u1av ) (1 u1av ) u1av 0 0 0 0 (1 u1av ) 0 0 u2av 0

0 0 0 0 0 0

0 0 0 0 0 + 0 0 0 0 0 0 0

0 0 0 0 0 0

0 0 0 0 0 0 0 0 0 0 0 u2av 0 u2av 0 0 0 0

0 0 0 0 0 0

0 0 0 u2av 0 K

0 0 (1 u1av ) u1av J(uav ) = (1 u1av ) (1 u1av ) 0 0


0 0

0 K
0 0

Ntese que la matriz J(uav ) es una matriz antisimtrica por lo que debe de cumplir o e con J T (uav ) = J(uav ) y R que es una matriz semidenida positiva debe cumplir con RT = R 0.

Debido a que en el modelo matemtico promedio del sistema no existen constantes que a multipliquen a las entradas de control (u1av y u2av ) el valor de la matriz B de la ecuacin o

3.3. Diseo del controlador por retroalimentacin de la salida pasiva n o (3.27) es igual a cero. El vector de entrada (t) y B quedan de la siguiente forma: v in 0 0 (t) = 0 0 0 0 0

41

0 0 B= 0 0

0 0 0 0 0 0

Ya que se desea un controlador para hacer regulacin del sistema es necesario calcular las o variables de referencia para llevar a cabo dicha regulacin, basados en el modelo promedio o del sistema (3.21)-(3.26) se disea el modelo de referencia para regular al sistema, el cual n est dado por: a dL1 i dt dL2 i L2 dt d1 v C1 dt do v C2 dt da i La dt d J dt L1 = vin (t) (1 u1av )(1 + vo ) v = u1av v1 (1 u1av )o v = 1avL2 + (1 u1av )L1 u i i = vo + (1 u1av )(L1 + L2 ) a u2av i i i R (3.30) (3.31) (3.32) (3.33) (3.34) (3.35)

= Raa K + u2av vo i = Ka Bf i

Igualando el lado izquierdo de (3.30)-(3.35) a cero, de esta ultima se obtiene lo siguiente: 0 = Ka Bf i a = Bf i K Siguiendo, de la ecuacin (3.34) y sustituyendo ia se tiene: o 0 = Raa K + u2av vo i Raa + K i u2av = vo Ra Bf + K 2 u2av = K vo

42

Cap tulo 3. Control basado en pasividad de sistemas multivariables

Partiendo de la ecuacin (3.31) se tiene la siguiente expresin: o o 0 = u1av v1 (1 u1av )o v u1av v1 = (1 u1av )o v (3.36)

Por otro lado, se tiene que v1 , se obtiene a partir de la ecuacin (3.30) y con ayuda de la o expresin (3.36): o 0 = vin (t) (1 u1av )1 (1 u1av )o v v vin (t) = (1 u1av )1 + (1 u1av )o v v vin (t) = (1 u1av )1 + u1av v1 v v1 = vin (t) Ahora haciendo uso de expresin (3.36), se obtiene la variable de entrada de control u1av : o u1av v1 = vo u1av vo vo = u1av (1 + vo ) v vo u1av = v1 + vo De la ecuacin (3.32) se obtiene la siguiente expresin: o o 0 = 1avL2 + (1 u1av )L1 u i i u1avL2 = (1 u1av )L1 i i Partiendo de la ecuacin (3.33) se obtiene la siguiente expresin: o o 0= L2 i L2 i uo + u1avL2 + (1 u1av )L2 a u2av i i i R vo = + ia u2av R 2 vo Ra Bf + K 2 Bf 2 = + R vo K 2 (3.37)

Y por ultimo de ecuacin (3.37) se tiene que: o u1avL2 = (1 u1av )L1 i i u1av L1 = iL2 i (1 u1av ) R B 2 + K 2 Bf 2 2 L1 = vo + a f i R1 v v1 K 2

3.3. Diseo del controlador por retroalimentacin de la salida pasiva n o

43

Los valores de las variables de referencia en el punto de equilibrio que intervienen en el control son los siguientes: = d vo = vd v1 = vin (t) a = Bf d i K u1av = (3.38) (3.39) (3.40) (3.41) (3.42) (3.43)
2 d

vd vin (t) + vd Ra Bf + K 2 u2av = d Kvd 2 2 Ra Bf + K 2 Bf L1 = vd + i Rvin (t) vin (t)K 2 2 Ra Bf + K 2 Bf 2 L2 = vd + d i R vd K 2

(3.44) (3.45)

Se puede observar que el valor de la variable de referencia v1 es el voltaje de salida del panel fotovoltaico (vin (t)), por lo tanto, el controlador regular el voltaje del capacitor a C1 al voltaje que tenga el panel es ese momento. El propsito principal del control es o mantener el voltaje de salida del convertidor SEPIC al voltaje nominal mximo (vd ) del a motor de cd en todo momento. Esto con el propsito de controlar la velocidad angular o (d ) del motor desde una velocidad cero hasta la velocidad mxima del mismo (ver tabla a 4.1). Poniendo el modelo de referencia (3.30)-(3.35) en su forma pasiva se tiene que: Ax = (J(av ) R) + B uav + (t) u x El vector de estados del modelo de referencia queda denido de la siguiente forma: xT = 1 2 v1 vo a i i i Ahora para calcular la dinmica del error del sistema se realiza la resta de la ecuacin a o (3.27) con la ecuacin (3.46), de la cual se obtiene lo siguiente: o Ae = Ax Ax = J(uav )x Rx + Buav J(av ) R B uav u x x = J(uav )x J(av ) R(x x) + B(uav uav ) u x (3.46)

44

Cap tulo 3. Control basado en pasividad de sistemas multivariables

Deniendo el vector de error e = x x, el error de la entrada de control promedio como eu = uav uav y sumando un cero a la dinmica del error J(uav ) J(uav ), se tiene: a x x Ae = J(uav )x J(av ) Re + Beu + J(uav ) J(uav ) u x x x = J(uav )(x x) J(av ) Re + Beu + J(uav ) u x x = J(uav )e Re + Beu + [J(uav ) J(av )] x u (3.47)

Haciendo una aproximacin lineal por serie de Taylor del trmino J(uav ) J(av ) con o e u respecto a la entrada de control promedio uav en (3.47), se obtiene lo siguiente: J(uav ) uav J(uav ) J(uav ) J(av ) = u uav J(uav ) = J(av ) + u (uav uav )
uav =av u

eu
uav =av u

(3.48)

:=J

Ahora sustituyendo (3.48) en (3.47) se obtiene la siguiente ecuacin: o Ae = J(uav )e Re + Beu + J x (3.49)

De acuerdo con la linealidad de la ecuacin (3.28), J esta puede escribirse de la siguiente o manera: J=
i=1 m m

Ji (ui,av ui,av ) =
i=1

Ji ei,u

(3.50)

Sustituyendo la ecuacin (3.50) en (3.49) se tiene que: o


m

Ae = J(uav )e Re + Bei,u +
i=1

Ji ei,u x

= J(uav )e Re + [B + (J1 x, . . . , Jm x)] eu


:=B

= J(uav )e Re + Beu

(3.51)

La ecuacin (3.51) describe la dinmica del modelo exacto del error de regulacin en lazo o a o abierto. Proponiendo la funcin candidata de Lyapunov del error de regulacin como: o o 1 V (e) = eT Ae 2 (3.52)

Ahora, la derivada de la funcin (3.52) con respecto al tiempo queda de la siguiente forma: o V (e) = eT Ae (3.53)

3.3. Diseo del controlador por retroalimentacin de la salida pasiva n o Propiedad 1 La matriz conservativa J(uav ) satisface [20]: eT J(uav )e = 0 uav R, e Rn

45

Utilizando la propiedad 1 y sustituyendo la ecuacin (3.51) en (3.53), la derivada con o respecto al tiempo queda: V (e) = eT J(uav )e eT Re + eT Beu = eT Re + eT Beu (3.54)

Cuando se utiliza un controlador lineal por retroalimentacin en lazo cerrado, la entrada o de control eu puede expresarse de la siguiente manera: eu = uav uav = Be Sustituyendo la ecuacin (3.55) en (3.54) se obtiene la siguiente expresin: o o V (e) = eT Re + eT B(B T e) = eT R + BB T
R

(3.55)

e0

(3.56)

El trmino R de la ecuacin anterior se conoce como la condicin de disipacin de e o o o acoplamiento, la cual, para alguna matriz simtrica constante gamma () denida positie va se tiene la siguiente relacin vlida R 0. Esta condicin asegura que si la disipacin o a o o natural del sistema no existe, esta puede conseguirse mediante una accin de control o apropiada de manera complementaria. De la ecuacin (3.56) el trmino R est denido de la siguiente forma: o e a 2 2
(1 + vo ) 1 v (1 + vo ) 1 v

(1 + vo )2 1 v v (i1 + i2 )(1 + vo )1 R= (i1 + i2 )(1 + vo )1 v 0


0

(1 + vo )2 1 v (1 + 2 )(1 + vo )1 i i v (1 + 2 )(1 + vo )1 i i v 0 0

(1 + vo )(1 + 2 )1 v i i 1 + 2 )1 (1 + vo )(i v i (1 + 2 )2 1 i i (1 + 2 )2 1 i i 0 0

(1 + vo )(1 + 2 )1 v i i 1 + 2 )1 (1 + vo )(i v i (1 + 2 )2 1 i i + (1 + 2 )2 1 + 2 2 i i ia oa 2 v i 0

0 0 0 oa 2 v i Ra + vo 2 2 0

0 0 0

1 R

0 0
Bf

Aplicando el criterio de Sylvester, se calcula el determinante de todas las submatrices de R, las cuales estn formadas por las i primeras las y por las i primeras columnas de R para a i = 1, 2, ...n, con lo cual se obtienen los siguientes determinantes detR1 > 0, detR2 = 0,

46

Cap tulo 3. Control basado en pasividad de sistemas multivariables

detR3 = 0, detR4 = 0, detR5 = 0, detR6 = 0, por lo tanto se tiene que R es una ma triz semidenida positiva ya que los determinantes R1 , R2 . . . R6 0. Debido a esto, la ecuacin (3.56) es una funcin semidenida negativa y por lo tanto el punto de equilibrio o o de (3.51) bajo la ley de control (3.55) es estable. Para demostrar la estabilidad asinttica global de (3.56) en lazo cerrado se utiliza el o principio de invarianza de LaSalle, el cual se cita a continuacin. o Denicin 1 Considrese el sistema no lineal descrito por [20]: o e x = f (x) (3.57)

donde f (x) es un campo vectorial en Rn y suponga que f (0) = 0. Si inicialmente el sistema (3.57) satisface x(t0 ) = 0, entonces la funcin x(t) 0 para t > t0 es una o solucin de (3.57) llamada solucin trivial o solucin en equilibrio. Sea V : Rn R una o o o funcin denida positiva, radialmente no acotada y continuamente diferenciable tal que o V (x) 0 x Rn . Sea = x Rn | V (x) 0 y supngase que ninguna solucin o o permanece idnticamente en ms que la solucin trivial x(t) 0, por lo tanto, el origen e a o es asintticamente estable globalmente. o Ya que la funcin f (x) en este caso, est dada por (3.51) donde el vector de estados es o a x = e Rn . El unico punto de equilibrio de (3.51) es e = 0 Rn , la funcin candidata de o Lyapunov V : Rn R propuesta en (3.52) es denida positiva en forma global, tambin e es radialmente no acotada y continuamente diferenciable. De la ecuacin (3.56) se observa o que V (e) 0 e Rn . Ahora considrese la regin dada por: e o = e Rn | V (e) = 0 Puesto que V (e) 0 , por lo tanto, V (e(t)) es una funcin decreciente en el tiempo o t. Ya que V (e(t)) es una funcin continua en el conjunto compacto , y est acotada o a inferiormente en . Por lo tanto, V (e(t)) tiene un l mite a cuando t . Debido a que es un conjunto invariante se tiene que V (e(t)) = 0 y la unica solucin invariante es o e = 0. Como la solucin trivial es la unica solucin del sistema (3.51) restringido a , o o entonces empleando la denicin 1 puede concluirse que el origen del espacio del error es o asintticamente estable globalmente. o

3.3. Diseo del controlador por retroalimentacin de la salida pasiva n o

47

Una vez demostrada la estabilidad asinttica de la dinmica del error del sistema, la ley o a de control se obtiene despejando uav de (3.55), con lo cual se tiene: uav = uav B T e (3.58)

donde = diag 1 2 , con 1 y 2 constantes positivas en el intervalo [0,1] y J1 x + b1 J2 x + b2 , dado que B = 0 entonces se tiene que b1 = b2 = 0 y J1 = J(uav ) y J2 = J(uav ) , entonces para calcular B se parte de que: u1av u2av 0 0 1 1 0 0 0 0 0 0 i 1 0 2 i 0 v1 0 vo 0 a i 0 w 0 0 0 J2 x = 0 0 0 0 0 0 0 0 0 0 0 i 1 0 0 0 0 2 i 0 0 0 0 v1 0 0 1 0 vo 0 1 0 0 a i 0 0 0 0 w 0 0 B =

0 1 1 0 1 1 0 0 J1 x = 1 1 0 0 0 0 0 0 0 0

0 0 0

Entonces B queda como sigue: v1 + vo 0

B = J1 x + b1 J2 x + b2

v1 + vo 0 i1 i2 0 = 1 2 a i i i 0 vo 0 0

(3.59)

Sustituyendo la ecuacin (3.59) en la ecuacin (3.58) se tiene que: o o i1 1 i i i2 2 0 v1 v1 0 vo vo ia a i

u u 0 v + vo v1 + vo 1 2 1 2 0 i i i i 1av = 1av 1 1 u2av u2av 0 2 0 0 0 a i vo

48

Cap tulo 3. Control basado en pasividad de sistemas multivariables

Por lo tanto, la ley de control multivariable por retroalimentacin de la salida pasiva del o error, est dada como: a u1av = u1av 1 (1 + vo )(i1 1 ) 1 (1 + vo )(i2 2 )+ v i v i 1 (1 + 2 )(v1 v1 ) + 1 (1 + 2 )(vo vo ) i i i i u2av = u2av +2a (vo vo ) 2 vo (ia a ) i i (3.61) (3.60)

Cap tulo 4 Plataforma experimental


En este cap tulo se presenta el diseo e implementacin experimental de la plataforma del n o sistema propuesto.

Figura 4.1: Plataforma experimental En la gura 4.1 se muestra el diagrama electrnico general de la plataforma experimental, o la cual est compuesta por los siguientes mdulos: a o 1. Panel fotovoltaico (representado por la fuente de voltaje). 2. Motor elctrico de corriente directa con tacmetro integrado. e o 3. Convertidor electrnico de potencia tipo SEPIC. o

50

Cap tulo 4. Plataforma experimental 4. Convertidor electrnico de potencia de puente completo cd-cd. o 5. Control por retroalimentacin de la salida pasiva del error exacto. o 6. Modulador de ancho de pulso (PWM). 7. Sensores elctricos. e

4.1.

Motor elctrico de corriente directa e

El motor de cd utilizado en la plataforma para el sistema convertidor cd-cd SEPIC puente completo - motor de cd, es un motor modelo D06D304E de la compa Hitachi. na En la tabla 4.1 se muestran las caracter sticas principales de dicho motor, los primeros parmetros son proporcionados por el fabricante y los cinco ultimos fueron obtenidos a experimentalmente en el laboratorio.

Tabla 4.1: Parmetros del motor de cd. a Parmetro a S mbolo Valor Unidades Velocidad mxima a Potencia de salida Encoder Resistencia de armadura Inductancia de armadura Coeciente de friccin viscosa o Constante elctrica e Constante mecnica a Ra La Bf Ke Kt v p 3700 53 240 2 8.9 249.6 0.0884 0.0884 RPM W Pulsos/Rev mH (Nm-s)/rad Vs /rad N-m/A

La obtencin de los parmetros (K, Bf , Ra , La ) del motor se obtuvieron a travs de prueo a e bas experimentales, ya que estos datos no fueron especicados en la hoja de datos proporcionados por el fabricante.

4.2. Convertidor electrnico de potencia tipo SEPIC o

51

4.2.

Convertidor electrnico de potencia tipo SEPIC o

El convertidor SEPIC (reductor - elevador) puede transformar un voltaje de entrada Vin en un voltaje de salida Vo , ya sea mayor, menor o igual al voltaje de entrada Vin . Esto se logra mediante la conmutacin del transistor MOSFET a travs de una seal PWM que o e n controla el ciclo de trabajo del transistor, lo que permite generar los distintos rangos del voltaje de salida del convertidor (ver gura 4.2).

Figura 4.2: Topolog del convertidor SEPIC. a El convertidor SEPIC opera en el modo de conduccin continua (mcc), si la corriente o que pasa a travs del inductor L1 nunca llega a ser cero en un periodo completo, por e tanto, cuando el convertidor trabaja en estado estable el capacitor C1 bloquea la corriente de cd y debido a esto la corriente a travs del capacitor es cero (iC1 = 0). Puesto e que la corriente promedio en el capacitor iC1 es cero, la corriente promedio que circula por el inductor L2 es la misma que la corriente promedio que pasa a travs de la carga. e Ahora tenemos que Vin = V1 cuando el convertidor opera en estado estable entonces se llega a la siguiente relacin VL1 = VL2 , ya que el voltaje en ambos inductores es igual o en magnitud, la corriente de rizo para los dos inductores tambin son iguales en magnitud. e El convertidor SEPIC tiene dos modos de operacin, cuando el interruptor S se encuentra o encendido las corrientes i1 e i2 se incrementan en magnitud. La energ necesaria para aua mentar la corriente i1 viene desde la fuente de voltaje de entrada y ya que el interruptor se encuentra encendido se considera como un corto circuito, por tanto el voltaje instantneo a V1 es aproximadamente Vin y el voltaje VL2 es aproximadamente Vin , entonces el capacitor C1 provee la energ necesaria para incrementar la magnitud de la corriente i2 y a as incrementar la energ almacenada en L2 . Ahora cuando el interruptor es conmutado a en apagado, la corriente iC1 es la misma que la corriente i1 que pasa a travs del induce

52

Cap tulo 4. Plataforma experimental

tor L1 debido a que el interruptor se considera como un circuito abierto. Ahora como se puede ver en el esquemtico de la gura 4.2 la corriente i2 se suma a la corriente i1 lo que a incrementa la corriente entregada a la carga. Debido a las conmutaciones en el MOSFET las corrientes en los inductores presentan un rizo de corriente iL . Se escogi un valor de corriente de rizo pequeo para el convertidor con base en los o n parmetros del inductor. La frecuencia de conmutacin para el diseo del convertidor es a o n de 35kHz, este valor de frecuencia se escogi para aprovechar al mximo la eciencia que o a proporciona el convertidor en la transferencia de potencia entrada/salida y para tener un inductor con un valor pequeo de inductancia. Mientras que el voltaje de alimentacin del n o convertidor se seleccion con base en el voltaje en el punto de mxima potencia entregado o a por el panel fotovoltaico Vin = 16.8V (vase tabla 2.1). e

4.2.1.

Dise o de los inductores del convertidor n

Partiendo del anlisis de los circuitos de la gura 4.3, donde 4.3(a) muestra el circuito a elctrico del sistema convertidor SEPIC - puente completo - motor de cd en forma promee dio, mientras que en 4.3(b) se muestra el anlisis del circuito del sistema en cd cuando a = 0 rad/seg.

(a)

(b)

Figura 4.3: a) circuito elctrico del sistema en forma promedio. b) anlisis en estado e a estable del circuito del sistema.

4.2. Convertidor electrnico de potencia tipo SEPIC o

53

La fuente dependiente de la gura 4.3(a) se hace cero en el anlisis de cd debido a que el a voltaje que se tiene a la salida del convertidor tiene una amplitud pequea lo que provoca n que el eje del motor no se mueva ( = 0), esto se presenta cuando el ciclo de trabajo de conmutacin del convertidor es muy pequeo, por tanto, el valor del voltaje de salida o n est dado por (ecuacin (2.41)): a o v= (0.1)(16.8) Dvin = = 1.86V 1D 1 0.1 (4.1)

donde el valor de D fue calculado experimentalmente en el laboratorio.

Por otro lado, se puede calcular una resistencia equivalente Req a partir de las dos resistencias en paralelo R y Ra de la gura 4.3(b), entonces el valor de la resistencia equivalente es la siguiente: Req = 94 3 R Ra = 2.9 = R + Ra 94 + 3 (4.2)

donde R es una resistencia limitadora de corriente del circuito de armadura del motor y tambin es la que disipa la energ en el capacitor. Mediante el anlisis de cd del sistema e a a realizado anteriormente, se puede calcular el valor m nimo de los inductores L1 y L2 , as como tambin se puede calcular el valor m e nimo de las corrientes de rizo en ambos inductores.

Para poder asegurar que el convertidor est siempre trabajando en el modo de conduccin e o continua (mcc), se debe calcular el valor m nimo o cr tico para los dos inductores, la expresin para calcular el valor de L1 es la siguiente (ecuacin (2.51)): o o L1crit = T R(1 D)2 Req (1 D)2 = 2D 2Df

de esta expresin se obtiene el clculo del valor m o a nimo de la inductancia L1 del convertidor: L1crit = (2.9)(1 0.1)2 = 355.57H 2(35kHz)(0.1)

Ahora para poder calcular el valor cr tico de la inductancia L2 del convertidor, se utiliza la siguiente expresin (ecuacin (2.52)): o o L2crit = T R(1 D) Req (1 D) = 2 2f

54

Cap tulo 4. Plataforma experimental

de aqu se obtiene el valor cr tico de L2 el cual queda: L2crit = (2.9)(1 0.1) = 37.28H 2(35kHz)

A partir de estos valores cr ticos de las inductancias L1 y L2 se calcula el valor m nimo de la corriente de rizo mediante las ecuaciones (2.46) y (2.50) las cuales son: IL1 = Dvin (0.1)(16.8V ) = = 0.135A L1 f (355.57H)(35kHz) (4.3)

mientras que para IL2 se tiene: IL2 = Dvin (0.1)(16.8V ) = = 1.287A L2 f (37.28H)(35kHz) (4.4)

Como se puede apreciar en (4.4) el valor de la corriente de rizo es grande, y como se desea una corriente de rizo ms pequea se elige un valor de inductancia ms grande para L2 a n a como: L2 = L1 = 1mH (4.5)

De (4.5) se observa que el valor de inductancia para L1 es el mismo que para L2 , esto se hace con la nalidad de facilitar el diseo y construccin de los inductores. Debido a esto n o el valor de la corriente de rizo en ambos inductores es la siguiente: IL1 = IL2 = (0.1)(16.8V ) = 0.048A (1mH)(35kHz) (4.6)

Los inductores se construyeron con un hueco de aire de 2mm, para lograr la inductancia de 1mH se necesita un nmero de vueltas de n = 58 sobre el ncleo de ferrita con un u u alabre magneto de calibre 16. El ncleo tiene una resistencia de aproximadamente 0.3, u un inductor de valor ms grande del que se especica en (4.5) no es recomendable, debido a a que en su construccin se debe incrementar el nmero de vueltas del alambre y como o u consecuencia esto incrementar las prdidas resistivas en el inductor. Para conseguir un a e valor de inductancia ms grande se puede aumentar el tamao del hueco de aire en el a n ncleo sin tener que incrementar el nmero de vueltas de alambre sobre el mismo. Todos u u los clculos para la construccin de los inductores son con base en [26]. a o

4.2.2.

Seleccin de los capacitores del convertidor o

Los capacitores de convertidor C1 y C2 se deben elegir de acuerdo a la corriente ICrms que deben soportar en relacin con la potencia de salida. El voltaje nominal para el capacitor o

4.2. Convertidor electrnico de potencia tipo SEPIC o

55

C1 debe ser mayor que el voltaje mximo de entrada, los capacitores electrol a ticos funcionan bien para todas las aplicaciones donde el valor del capacitor necesario para satisfacer la corriente rms. Podr usarse un capacitor cermico, pero un valor bajo de capacitancia a a para C1 provocar cambios en el voltaje del capacitor debido a que la corriente es grande. a Tomando en cuenta estas consideraciones se elige un valor de voltaje de rizo pequeo del n 1 % del voltaje v1 con lo cual se tiene que el voltaje de rizo es Vc1 = 0.16V , y con base en el anlisis en estado estable se tiene que el voltaje de rizo en el capacitor C1 est dado a a por: Vc1 = vin D vin DT = RC1 RC1 f

Despejando C1 y sustituyendo los valores correspondientes en la ecuacin anterior se o calcula el valor del capacitor C1 : C1 = (16.8 V )(0.65) = 20.74F (94)(0.16 V )(35 kHz)

Puesto que no existe un valor comercial de capacitancia de 20.74F se elige el valor comercial ms cercano, por lo tanto C1 = 22F . a Dado que el voltaje de salida del convertidor funcionar como fuente de alimentacin a o para el convertidor de puente completo, se requiere que el voltaje contenga el menor rizo posible, por tanto se elige un capacitor de valor grande C2 = 470F y nuevamente del anlisis en estado estable se calcula el valor del voltaje de rizo: a VC2 = Dvo RC2 f

Sustituyendo los valores correspondientes en la ecuacin anterior se tiene: o VC2 = (0.65)(32V ) = 0.013V (94)(35kHz)(470F )

4.2.3.

Semiconductores del convertidor

El problema principal en la seleccin de los semiconductores de potencia de conmutacin o o es en la reduccin de prdidas que pueda tener a la hora de conmutar y en las dimensiones o e de corriente que pueda manejar. De aqu se elige un transistor MOSFET (IRF640) de baja resistencia de encendido RDS(on) . Donde su valor es de aproximadamente 0.18 y adems a

56 puede conducir fcilmente una corriente de 3.5A. a

Cap tulo 4. Plataforma experimental

Adicionalmente, debido a que el MOSFET necesita un voltaje positivo en su compuerta VGS > 0 para ser conmutado en encendido, se tiene que el voltaje del MOSFET de su compuerta a tierra tiene que ser ms grande que el voltaje de entrada (vin ), para que a el MOSFET entre en estado de conduccin. Para esto, se utiliza un dispositivo llamado o impulsor de compuerta, donde mediante este dispositivo se consigue un voltaje mayor al voltaje de alimentacin, el cual se utiliza para activar la compuerta del MOSFET, o adems este dispositivo realiza disparos de subida y bajada en tiempos muy cortos en la a conmutacin del MOSFET. Esto por supuesto reduce las prdidas de conmutacin en el o e o transistor.

Por otra parte, el diodo utilizado en convertidor SEPIC es un diodo recticador ultra rpido (BYV32-200), el cual ofrece bajas prdidas en la conmutacin debido a que tiene a e o un bajo voltaje de umbral en su polarizacin y un tiempo de recuperacin bastante rpido o o a en voltajes inversos.

4.3.

Modulador de ancho de pulso (PWM)

Los moduladores de ancho de pulso son de gran utilidad para la implementacin prctica o a de los controladores en su forma promedio, ya que mediante estos se transforma la seal n continua en una seal discreta equivalente, esto permite el encendido y apagado del inten rruptor en forma apropiada.

Por otra parte, la idea del diseo de los mtodos de control es representar una expresin n e o de control continuo de retroalimentacin basado en sistemas en su forma promedio, con o entradas de control limitadas en un intervalo cerrado de [0, 1], donde stas trasladan una e ley de control de retroalimentacin a una forma discreta (producto de la comparacin o o de la seal de entrada promedio con una seal triangular de alta frecuencia) que toma n n como entrada la seal de control continua como una funcin del ciclo de trabajo para la n o implementacin del PWM. o

4.3. Modulador de ancho de pulso (PWM)

57

Existen muchas aplicaciones donde el modulador PWM funciona como un actuador electrnico para la activacin y desactivacin del interruptor, en donde su salida funciona o o o como la entrada de control real. Este modulador es muy utilizado en equipos industriales y de investigacin, por lo tanto se tratar con ms detalle al modulador PWM. En muchas o a a aplicaciones se encuentran sistemas de control de la siguiente forma: x = f (x, u), x X, u U (4.7)

donde X Rn , mientras que el espacio de entrada U es un conjunto discreto. Un claro ejemplo de esto son los convertidores electrnicos de potencia, los cuales pueden ser reo presentados mediante esta notacin matemtica. o a Ahora considrese un sistema de control cualquiera con U = {0, 1}, esto es: e x = f (x, u), u {0, 1} (4.8)

de aqu se dene al ciclo de trabajo como la relacin de trabajo efectivo de la variable de o control u, que tiene un valor jo en un instante de tiempo . En todo ciclo de trabajo la entrada de control u, se conmuta exactamente en un tiempo de 1 a 0. La fraccin del ciclo de trabajo sobre el cual la entrada mantiene el valor jo o de 1 se conoce como la razn de trabajo y se denota por . La razn de trabajo puede o o depender tambin del estado x (o mejor dicho, del valor del estado muestreado desde el e inicio del ciclo de trabajo), en todo ciclo de trabajo [t, t + ] la entrada de control u queda denida por: u( ) = 1, u( ) = 0, para t t + para t + t + (4.9)

Por lo tanto se tiene que el estado x al nal del ciclo de trabajo est denido por: a
t+ t+

x(t + ) = x(t) +
t

f (x( ), 1)d +
t+

f (x( ), 0)d

(4.10)

El modelo promedio ideal del sistema controlado por PWM se obtiene a travs del ciclo e de trabajo de duracin instantnea , que tiende al valor de cero. Se obtiene el l o a mite de la expresin (4.10) y entonces tenemos que: o x = l m x(t + ) x(t) = f (x( ), 1) + (1 )f (x( ), 0) 0 (4.11)

58

Cap tulo 4. Plataforma experimental

La razn de trabajo se piensa como una entrada a valores continuos, que toma sus o valores en el intervalo cerrado [0, 1]. El esquema de control por PWM produce el control desde la propia conmutacin de los convertidores electrnicos de potencia, donde es rao o zonable asumir que los interruptores pueden estar abiertos (u = 0) o cerrados (u = 1) de una manera lo sucientemente rpida en una razn de trabajo de [0, 1]. a o

La implementacin f o sica del modulador PWM se realiz mediante la comparacin de o o una seal triangular de frecuencia nita y una seal promedio de cd que se impone en la n n salida del convertidor (seal moduladora), el n ndice de modulacin m se obtiene mediante o la siguiente razn: o m= vav vtri (4.12)

donde, vav es el voltaje de la seal de control promedio de cd y vtri es el voltaje pico de n la seal triangular que generalmente se mantiene constante. n

En la gura 4.4 se muestra el circuito elctrico generador PWM el cual se compone de e un generador de onda triangular (ICL 8038) y un comparador analgico de alta velocidad o (LM311), que coteja los niveles de voltaje de la seal promedio de entrada con la seal n n triangular generada a una frecuencia de 35kHz y una amplitud de 5V de pico a pico.

Figura 4.4: Diagrama esquematico para el modulador PWM.

4.4. Convertidor cd-cd de puente completo

59

4.4.

Convertidor cd-cd de puente completo

El sistema de conmutacin ms sencillo de este convertidor genera un voltaje de salida o a el cual tiene una forma de onda cuadrada, esto es, cuando la seal u2 proveniente de los n drivers es igual a uno provoca que los transistores a los cuales est conectada se cierren a haciendo que el voltaje entre los puntos A y B sea igual a Vcc , mientras que cuando u2 = 0 entonces los transistores se abren y el voltaje entre A y B es igual a Vcc , por lo que la conmutacin peridica del voltaje entre Vcc y Vcc genera la forma de onda cuadrada o o del voltaje de salida. El voltaje de salida del convertidor puede ser controlado tanto en magnitud como en polaridad, de forma similar la magnitud y direccin de la corriente de o salida puede ser controlada.

Figura 4.5: Convertidor cd-cd de puente completo El convertidor de puente completo se implement con los mismos transistores MOSFET o utilizados en el convertidor SEPIC (IRF640) debido a sus caracter sticas mencionadas anteriormente. Cada una de las ramas del puente es conmutada por su respectivo driver (IR2113) mediante una seal PWM como se muestra en la gura 4.5. n

4.5.

Sensores elctricos e

En el desarrollo de esta trabajo de tesis se construyeron diferentes tipos de sensores elctricos, en la gura 4.6 se muestra el diagrama esquemtico del sensor de corriente e a utilizado para monitorear la corriente i1 del convertidor, este sensor consta unicamente de un amplicador de instrumentacin (AD620) el cual est congurado para obtener una o a

60

Cap tulo 4. Plataforma experimental

relacin de 1V /1A, es decir, por cada amperio que circule a travs de la resistencia de o e sensado Rsen se tiene un voltaje unitario a la salida del amplicador.

Figura 4.6: Sensor de corriente utilizando un amplicador de instrumentacin. o La gura 4.7 muestra el diagrama esquemtico del sensor utilizado para monitorear la a corriente i2 del convertidor, dicho sensor est compuesto por un amplicador operacional a (LF356) el cual est congurado como un amplicador inversor cuya ganancia se ajusta a con el potencimetro para obtener una relacin de 1V /1A de igual forma que el sensor o o anterior.

Figura 4.7: Amplicador operacional congurado como amplicador inversor. Para sensar el voltaje V1 en el capacitor C1 se requiere de un circuito analgico compuesto o por tres amplicadores operacionales (LF356) que acondicionan la seal de entrada para n obtener una escala de conversin de 1V /0.1V , esto es debido a que la tarjeta de adquisicin o o de datos solo maneja voltajes de entre [10V, 10V ]. La gura 4.8 muestra el diagrama esquemtico del sensor de voltaje. a

4.5. Sensores elctricos e

61

Figura 4.8: Sensor de voltaje. Para monitorear el voltaje vo no es necesario utilizar ningn tipo de sensor, ya que al u ser vo el voltaje de salida del convertidor tiene un valor constante (32V ), pero como se mencion anteriormente la tarjeta de adquisicin de datos no maneja valores mayores o o a 10V por lo cual es necesario reducir el voltaje vo , esto se logra mediante un simple divisor de voltaje y un seguidor de voltaje implementado con un amplicador operacional (UA741) el cual a su salida tendr una dcima parte de vo . La gura 4.9 muestra el circuito a e descrito para monitorear vo .

Figura 4.9: Divisor de voltaje utilizado para monitorear vo . El sensor de velocidad construido para monitorear la velocidad del motor se muestra en la gura 4.10, como se puede observar el sensor consta de un contador binario de 4

62

Cap tulo 4. Plataforma experimental

bits (74HC393) el cual se utiliza para dividir la frecuencia que proporciona el tacmetro, o dicha frecuencia pasa despus al convertidor de frecuencia a voltaje (LM2907) el cual e proporciona a su salida una relacin aproximadamente lineal de 1V /1kHz. Este sensor o se usa solo con nes de comparacin ya que la velocidad no interviene en el proceso de o control del sistema, por tanto el sensor puede construirse o no.

Figura 4.10: Sensor de velocidad. Para monitorear la corriente de armadura (ia ) del motor se utiliz un sensor de corriente o magneto resistivo NT-15 de la compa F.W. Bell. Para obtener ms informacin a cerca na a o de las caracter sticas de este sensor ver la hoja de especicaciones proporcionada por el fabricante en el apndice A. e

4.6.

Controlador por retroalimentacin de la salida o pasiva

EL controlador en su forma promedio dado por las ecuaciones (3.60) y (3.61) diseado n en el cap tulo anterior para el sistema convertidor SEPIC - convertidor puente completo - motor de cd en lazo cerrado se implement utilizando el programa Simulink de Matlab o (ver gura C.1 en el apndice C), usando como interfaz una tarjeta de adquisicin de datos e o modelo DAQ6025E de la compa National Instruments para la comunicacin con los na o circuitos de sensado y de control. El periodo de muestreo utilizado para la implementacin o del controlador fue de 520s.

4.7. Resultados experimentales

63

4.7.

Resultados experimentales

Bsicamente se realizaron tres tipos de pruebas para observar el desempeo del controa n lador multivariable por retroalimentacin de la salida pasiva para el sistema propuesto. o La primera prueba se realiza para un perl de velocidad constante que tiene tres niveles de velocidad, velocidad positiva, velocidad cero y velocidad negativa. La segunda prueba se realiza para un perl de velocidad constante con dos niveles de velocidad, velocidad negativa y velocidad cero. Finalmente se realiza la tercera prueba para un perl de velocidad que tiene tambin dos niveles de velocidad, velocidad positiva y velocidad cero. e A continuacin se presentan dos guras en donde se muestra el desempeo del controlador o n para un perl de velocidad de referencia dado mediante la siguiente funcin: o 250 rad/seg 0t<4 = 0 rad/seg 4t6 250 rad/seg 6 < t 10

(4.13)

Figura 4.11: Seales de velocidad, control y corriente de armadura para la funcin (4.13). n o Se observa en la grca de velocidad angular de la gura 4.11 dos respuestas en el tiempo a de esta variable, la primera en el intervalo de tiempo [0, 4) s en donde se aprecia que el tiempo de establecimiento de la variable real de velocidad es de aproximadamente medio

64

Cap tulo 4. Plataforma experimental

segundo. La segunda respuesta se aprecia en el intervalo de tiempo [6, 10) s donde se observa tambin que el tiempo de establecimiento de la variable real de velocidad es igual e al del primer intervalo. Las grcas de las entradas de control y la variable de la corriente de armadura muestran a grcamente el comportamiento dinmico para el perl de velocidad constante dado por a a la funcin (4.13). o

La gura 4.12 muestra las respuestas de las variables internas del convertidor SEPIC para el mismo perl de velocidad angular de referencia dado por la funcin (4.13), las o cuales son: voltaje en el capacitor C1 , v1 , voltaje de salida vo , corriente de entrada i1 y corriente de salida i2 . En la grca superior de esta misma gura se observa en el intervalo a cerrado [4, 6] s, un incremento considerable en el valor nominal del voltaje de salida del convertidor, producto de la regeneracin de energ ocasionada por el frenado repentino o a del motor. Este mismo efecto se observa en las grcas inferior izquierda e inferior derecha a de la gura en el intervalo de tiempo en el cual el motor est detenido. a

Figura 4.12: Seales del convertidor SEPIC para la funcin (4.13). n o

A continuacin se presentan dos guras en donde se muestra el desempeo del controlador o n para un perl de velocidad de referencia dado mediante la siguiente funcin: o

4.7. Resultados experimentales

65

200 rad/seg = 0 rad/seg 200 rad/seg

0t<4 4t6 6 < t 10 (4.14)

En la gura 4.13 se muestra la respuesta en lazo cerrado de la variable de velocidad para el perl de referencia deseado descrito en (4.14), donde se aprecia el tiempo que le toma al controlador regular la velocidad del motor, el cual es aproximadamente medio segundo en los dos periodos de tiempo en que el motor se arranca. Tambin se puede observar en e esta misma gura, la respuesta de las entradas de control y la variable de corriente de armadura para este mismo perl.

Figura 4.13: Seales de velocidad, control y corriente de armadura para la funcin (4.14). n o La gura 4.14 presenta las respuestas de las variables internas del convertido SEPIC para el perl de velocidad de referencia (4.14). En la grca superior de la gura 4.14, se aprea cia nuevamente el incremento en el valor nominal del voltaje de salida del convertidor en el intervalo de tiempo en el cual el motor se frena sbitamente. u

66

Cap tulo 4. Plataforma experimental

Figura 4.14: Seales del convertidor SEPIC para la funcin (4.14). n o Este efecto de regeneracin se presenta con una amplitud de voltaje menor que en la o primera prueba, esto debido a que la velocidad de referencia para esta prueba es menor que el de la primera. En la parte inferior de la gura 4.14, tambin se observa este mismo e efecto en las grcas de las variables restantes del convertidor SEPIC. a En las guras 4.15 y 4.16 se muestran las respuestas de las variables del sistema en lazo cerrado, para un perl de velocidad angular deseado, dado por la siguiente funcin: o 200 rad/seg 0 rad/seg 200 rad/seg 0t<4 4t6 6 < t 10 (4.15)

En la gura 4.15 se muestra la respuesta en lazo cerrado de la variable de velocidad para el perl de referencia deseado descrito en (4.15), donde se observa nuevamente que el controlador regula la velocidad aproximadamente en medio segundo en ambos periodos de tiempo en el cual el motor se arranca.

4.7. Resultados experimentales

67

Figura 4.15: Seales de velocidad, control y corriente de armadura para la funcin (4.15). n o La gura 4.15 tambin muestra la respuesta de las entradas de control y la variable de e corriente de armadura para el perl de velocidad de referencia dado por la funcin (4.15). o

Figura 4.16: Seales del convertidor SEPIC para la funcin (4.15). n o Una vez ms, se puede observar en la grca superior de la gura 4.16 el efecto de la a a regeneracin de energ en el voltaje de salida del convertidor en el intervalo de tiempo o a

68

Cap tulo 4. Plataforma experimental

[4, 6] s, asimismo, en la parte inferior de la gura 4.16 se observa el mismo efecto en las grcas de las variables restantes del convertidor SEPIC. a En las guras 4.11, 4.13 y 4.15 se observan picos en la corriente de armadura en el intervalo de tiempo en el que el motor est detenido, debido a la presencia de estos picos de corriente a se produce la regeneracin de energ la cual es el producto de la resistencia de armadura o a, por la corriente de armadura en sentido negativo, es decir, F EM = Ra ia La dia . dt Para nalizar, la tabla 4.2 presenta la eciencia del convertidor SEPIC obtenida en las pruebas de la plataforma experimental con los tres perles de velocidad. Tabla 4.2: Eciencia del convertidor SEPIC. Potencia de entrada Potencia de salida 31.92 W 23.53 W 26.24 W 19.2 W

Velocidad 250 rad/seg 200 rad/seg

Eciencia 0.822 0.816

4.7. Resultados experimentales

69

Figura 4.17: Implementacin de la plataforma experimental o 1. Voltaje proporcioado por el panel fotovoltaico. 2. Motor de cd. 3. Convertidor cd-cd tipo SEPIC. 4. Convertidor cd-cd de puente completo. 5. Sensores de corriente del convertidor SEPIC. 6. Modulador de ancho de pulso (PWM). 7. Sensores de voltaje del convertidor SEPIC. 8. Sensor de velocidad y de corriente de armadura.

70

Cap tulo 4. Plataforma experimental

Cap tulo 5 Conclusiones


El presente trabajo de investigacin describe el diseo y la construccin de un controlador o n o multivariable para regular la velocidad y direccin de giro de un motor de cd mediante o un arreglo en cascada de los convertidores cd-cd SEPIC y puente completo alimentados con paneles fotovoltaicos, del desarrollo de este trabajo de investigacin se obtienen las o siguientes conclusiones: Se propuso un sistema de conversin de energ solar a energ mecnica, el modelado o a a a matemtico de este sistema integra los modelos dinmicos de las partes individuales a a que conforman el sistema mediante ecuaciones diferenciales. Una vez obtenido el modelo del sistema y aprovechando las propiedades de pasividad y disipatividad del mismo, se diseo el controlador por retroalimentacin de la salida n o pasiva, el cual tiene dos entradas de control (u1av y u2av ) y dos salidas de inters a e regular, la velocidad angular () y el voltaje de salida del convertidor SEPIC (vo ). El diseo del controlador no requiere de un sensor de velocidad en la retroalin mentacin, debido a que la regulacin de la velocidad se lleva a cabo a travs de los o o e parmetros del sistema y el monitoreo de las variables de estado (i1 , i2 , v1 , vo , ia ). a La tcnica utilizada para el diseo del controlador no requiere de la linealizacin del e n o sistema dinmico no lineal alrededor de un unico punto de equilibrio. a Se proporciona un anlisis en estado estable del convertidor cd-cd tipo SEPIC para a asegurar su funcionamiento en el modo de conduccin continua (mcc), el cual es un o

72

Cap tulo 5. Conclusiones aporte importante en el rea de electrnica de potencia. Este tipo de convertidor a o regularmente se menciona en la literatura consultada en el desarrollo de este trabajo [1], [3], [22], [27], sin embargo, este anlisis nunca se realiza. a En la realizacin de este trabajo se comprobaron las principales caracter o sticas por las cuales se eligi el convertidor SEPIC de entre las dems topolog de convero a as tidores. Una de las caracter sticas es la robustez del convertidor ante el ruido ya que por su topolog se comporta como un ltro de cuarto orden, con lo cual no es necea sario el uso de ltros a la entrada ni a la salida del convertidor, adems a diferencia a de los convertidores de tipo reductor-elevador el SEPIC no invierte la polaridad del voltaje de salida, una de las principales caracter sticas es la alta eciencia en la transferencia de potencia del convertidor, la cual est por arriba del 80 %. a Los principales inconvenientes en el desarrollo de este trabajo se presentaron cuando la radiacin solar no era suciente para que el panel fotovoltaico proporcionara o la corriente y voltaje necesarios para el correcto funcionamiento del sistema. Otro inconveniente por llamarlo de alguna manera, se present en el diseo e impleo n mentacin del controlador ya que se requiere el monitoreo de la mayor de las o a variables de estado del sistema para su retroalimentacin, pero a cambio de esto, se o tiene un mejor desempeo en el controlador. n

5.1.

Trabajos futuros

Tomando como base lo desarrollado en el presente trabajo de tesis se derivan los siguientes trabajos futuros: Disear y construir una plataforma para el montaje de los paneles fotovoltaicos n de tal forma que estos puedan seguir el movimiento del sol y, as poder captar la mxima radiacin solar durante todo el d a o a. Implementar el controlador en un microcontrolador o un FPGA con la nalidad de reducir el tamao del sistema y que el controlador sea autnomo. n o Disear e implementar los convertidores cd-cd a frecuencias ms altas, con ayuda n a de semiconductores de nueva generacin. o

5.1. Trabajos futuros

73

Debido a la regeneracin de energ que se produce cuando el motor es frenado o a repentinamente, se propone realizar un anlisis matemtico exhaustivo para corregir a a este fenmeno. o Agregar al sistema una etapa de almacenamiento de energ para que pueda trabajar a cuando la radiacin solar no es suciente o para que pueda trabajar por la noche. o

74

Cap tulo 5. Conclusiones

Bibliograf a
[1] J.P. Agrawal, Power Electronic Systems: Theory and Design, Ed. Prentice Hall, 2001. [2] M.I. Angulo Nuez, H. Sira Ram n rez, Passivity Based Regulation of nonlinear Continuos Processes, 36th Conference on Decision and Control, Dec. 1997. [3] I. Batarseh, Power Electronic Circuits, Editorial John Wiley & Sons, Inc., 2004. [4] J. Chiasson, Modeling and High Performance Control of Electric Machines, Editorial John Wiley & Sons, Inc., 2005. [5] R.F. Coughlin, F.F. Driscoll, Amplicadores Operacionales y Circuitos Integrados Lineales, Ed. Pearson Prentice Hall, 1999. [6] R.B. Darla, Development of Maximum Power Point Tracker for PV Panels Using SEPIC Converter, 29th International Telecomunication Energy Conference, Septiembre 2007. [7] L. Egiziano, A. Giustiniani, G. Lisi, G. Petrone, G. Spagnuolo, M. Vitelli, Experimental Characterization of the Photovoltaic Generator for a Hybrid Solar Vehicle, IEEE International Symposium on Industrial Electronics, June 2007. [8] M. Fliess, H. Sira-Ram rez, An algebraic framework for linear identication, ESAIM Control Optimization and Calculus of Variations, Jan. 2003. [9] T. Friedli, S.D. Round, J.W. Kolar, Modeling the Space Elevator - A Project Oriented Approach for Teaching Experimental Power Electronics, European Conference on Power Electronics and Applications, Septiembre 2007.

76

Bibliograf a

[10] O. Gil-Arias, E. Ortiz Rivera, A general purpose tool for simulating the behavior of PV solar cells, panels and arrays, Workshop on Control and Modeling for Power Electronics, Aug. 2008. [11] J.R. Higinbotham, P.G. Kitchener, J.R. Moisan, Development of a New Long Duration Solar Powered Autonomous Surface Vehicle, Oceans 2006, Sept. 2006. [12] A. Hren, P. Slibar, Full Order Dynamic Model of SEPIC Converter, Proceedings of the IEEE International Symposium on Industrial Electronics, June, 2005. [13] C. Hua, C. Shen, Comparative study of peak power tracking techniques for a solar storage system, Applied Power Electronics Conference and Expositions, Feb. 1998. [14] C. Hua, C. Shen, Control of DC/DC Converters for Solar Energy System with Maximum Power Tracking, International Conference on Industrial Electronics, Control and Instrumentation, Noviembre 1997. [15] E. Jimnez Toribio, A. Labour Castro, F. Muiz Rodr e n guez, H. Prez Hernndez, e a E. Ortiz Rivera Sensorless Control of SEPIC and Cuk Converters for DC Motors using Solar Panels, IEEE Electric Machines and Drives, May 2009. [16] H. Kanaan, K. Al-Haddad, A Comparative Analysis of Nonlinear Current Control Schemes Applied to a SEPIC Power Factor Corrector, Industrial Electronics Society 31st Annual Conference of IEEE, Nov., 2005. [17] H.K. Khalil, Nonlinear Systems Third Edition, Editorial Prentice Hall, 1996. [18] M. Kolhe, J.C. Joshi, D.P. Kothari, Performance analysis of a directly coupled photovoltaic water-pumping system, IEEE Transaction on Energy Conversion, Sept. 2004. [19] J. Linares Flores, J. Reger, H. Sira Ram rez, Sensorless tracking control of two DCdrives via a double Buck-converter, 45th IEEE Conference on Decision and Control, Diciembre 2006. [20] J. Linares Flores L. Barahona Avalos, C.A. Bautista Espinosa, Controlador Basado en Pasividad y Estimacin Algebraica en Lnea del Parmetro de Carga del Convetio a dor de Potencia CD-CD Tipo Cuk, por aparecer.

Bibliograf a

77

[21] J. Linares Flores Control suave de velocidad de motores de cd mediante convertidores de potencia cd/cd, Tesis doctoral dirigida por H. Sira Ram rez, Departamento de Ingenier Elctrica, Seccin Mecatrnica, CINVESTAV-IPN, Mxico, 28 Oct. 2006. a e o o e [22] H.J. Marquez, Nonlinear Control Systems Analysis and Design, Editorial John Wiley & Sons, Inc., 2003. [23] E. Mineiro, S. Daher, F. Antunes, C. Cruz, Photovoltaic System for Supply Public Illumination in Electrical Energy Demand Peak, 19th Annual IEEE Applied Power Electronics Conference and Exposition, 2004. [24] E. Ortiz Rivera, F. Peng, Linear Reoriented Coordinates Method, Conference on Electro-information Technology, May 2006. [25] E. Ortiz Rivera, F. Peng, Analytical Model for a Photovoltaic Panel using the Electrical Characteristics provided by the Manufacturer Data Sheet, Power Electronics Specialist Conference, Sept. 2005. [26] Pronine Electronics Design, Multilayer Air Core Inductor Calculator,

http://www.pronine.ca/multind.htm, 2009. [27] M.H. Rashid, Electrnica de Potencia, Circuitos, dispositivos y aplicaciones, Edio torial Prentice Hall, 1995. [28] Secretar de Energ Energas Renovables para el Desarrollo Sustentable en Mxia a, e co, 2009. [29] Sitiosolar, Los paneles solares fotovoltaicos, http://www.sitiosolar.com/paneles fotovoltaicas.htm, 2009. [30] Solarex SX-40, SX-50 Photovoltaic Modules, Manufacturer Datasheet. [31] M.W. Spong, M. Vidyasagar, Robot Dynamics and Control, Editorial John Wiley & Sons, Inc., 1989. [32] M. Veerachary, T. Senjyu, K. Uezato, Maximum power point tracking control of IBD converter supplied PV system, Applied Power Electronics Proc., Nov. 2001.

78

Bibliograf a

[33] Wikipedia, Energa solar fotovoltaica, panel fotovoltaico, clula fotovoltaica, e http://es.wikipedia.org, 2009.

Apndice A e Sensor de corriente NT-15

Mechanical Dimensions
6.9

Dimensions with drilling plans

NT-5 NT-5

NT-15 NT-15

2.2 0.5 0.8x0.5

0.1

7.62

10.16

4 x 2.54

2.54 5.08 2.54 10.16 35.0

0.1

2x0.8

5x0.9

4x1.3

5x0.9

4 x 2.54 7.3

NT-25 NT-25

NT-50 NT-50
23.0

4.0 0.8x0.8 2.5x2.5 0.5x0.25

0.3

2.54

5.08 2.54 10.16

4 x 2.54

7.62

1.1

4x1.5

5x0.9

2x4.0

5x0.9

11.43

4 x 2.54

Recommended current path layout

Recommended minimal distance


20.0

,0

Pinning
Pin 1 2 3 4 5 Iin I out
5 , LQ 0    


23$03


L
6

= = = = =

+VS -VS SUPPLY GND (PINS 3,4 SHOULD BE CONNECTED TOGETHER) OUTPUT GND V OUT

I+
, RXW

= current input = current output = positive current direction

All dimensions in mm

Note: Due to continuous process improvement, specifications subject to change without notice.

6120 Hanging Moss Road Orlando, Florida 32807 www.fwbell.com Phone (407) 678-6900 Fax (407) 677-5765 Toll Free (800) 778-6117
Rev. date 01/20069

80

Apndice A. Sensor de corriente NT-15 e

Magneto-Resistive Current Sensors


For the potential free measurement of electric currents (DC, AC, impulse) with the magneto-resistive (AMR) technology. The nominal currents are 5, 15, 25 and 50 A, with a galvanic isolation between the primary current and the output signal. Applications Advantages 3 phase current drives and servo drives Excellent accuracy, linearity and dynamics Frequency inverters for DC drives Small and compact design Mains adapters Light weight (0.14.0.23 oz) Uninterruptable power supplies Battery powered applications Small sensitivity to interferences Solar technology Internal measurement resistor RM Building control and automation No field concentration, therefore no remanence Welding equipment Unit Electrical Data Primary nominal current, IPN Primary current measurement range1 Overload2 Output voltage at IPN Internal resistor of the NT Supply voltage 5%3 Power consumption @ IPN Resistance of the primary conductor Isolation test voltage, effective
4 Measurement tension5

NT-5 5 0... 15

NT-15 15 0... 45

NT-25 25 0... 75 2.5 < 150

NT-50 50 0... 150

A A A V 1 V mA m1 kV V % % % mV %/C mV s s kHz C C g (oz) mm (in) mm2 (in2)

10 X IPN

12... 15 < 40 < 12 <1 3.5 600 < 0.3 < 0.8 < 0.1 7.5 0.01 35 < 0.15 < 1.7 < 1.7 < 1.2 DC... 100 - 25C... + 85C - 25C... + 100C 4.0 (0.14) 4.2 (0.15) 4.5 (0.16) 6.5 (0.23) 35 x 23.5 x 7.3 (1.4 x 0.92 x 0.29 ) 256 (0.4) UL 94-VO EN50178 EN61010 CE-sign < 1.0 < 0.5 < 0.15

Accuracy

Accuracy 5 at I PN and room temp. Overall accuracy at IPN and room temp. Linearity Typical offset voltage at room temp. Sensitivity drift 6 Max. offset over temp. (- 25C... + 85C)

Dynamic Data

Reaction time (10% of IPN) Rise time (10%... 90% of IPN) 7 Frequency range (deviated amplitude)

General Data

Temperature range Storage temperature Mass Dimensions Surface on PCB board Isolated, self-extinguishing housing material Standards

NOTES:

1
2 3

For 3 s; IP = 2 X IPN for 10 s For 20 ms, then 20 s max. IPN At VS = 12 V: IP = 2 X IPN. Restrictions on accuracy and dynamic range

4 5 6

Pollution degree 2, cat. II Without offset VO and tolerance error of the measurement resistor RM Only dependent of the TC of the measurement resistor RM 7 With di/dt = 100A/s

Rev. date 04/2003

Apndice B e Tarjeta de adquisicin de datos o


La tarjeta de datos utilizada para la implementacin del controlador promedio es de la serie o DAQ6025E de la marca National Instruments, en la gura B.1 se muestra la descripcin o detallada de las entradas y salidas analgicas de cada uno de sus canales. La tarjeta de o adquisicin de datos se utiliza unicamente para adquirir y enviar los datos a los circuitos o del sistema, ya sean los convertidores cd-cd o los sensores elctricos. e

Figura B.1: Entradas/Salidas de la tarjeta DAQ6025E.

82

Apndice B. Tarjeta de adquisicin de datos e o

Apndice C e Controlador multivariable

Figura C.1: Controlador por retroalimentacin de la salida pasiva del error implementado o en Simulink.

Você também pode gostar