Você está na página 1de 8

Astro-Xtalk and PrimeTime SI Correlation Checklist

Version 1.3 October 2005

Synopsys ensures correlation between Astro-Xtalk and PrimeTime SI with each release. Any difference between the two tools could be due to different inputs, different setup, or known differences between the two tools. This article provides a checklist you can use to help debug Astro-Xtalk and PrimeTime SI correlation issues you experience on your design. It also provides guidelines for achieving better correlation between the two tools. However, it is not necessarily a recommendation for setting up the design for signoff analysis. Use Astro version X-2005.09 and PrimeTime SI version X-2005.06 to perform correlation.

Astro-PrimeTime SI Crosstalk Correlation Checklist

Prerequisites
1. Ensure that your timing correlation without crosstalk is good. 2. Transition times must be reasonable (within 1 ns) before performing crosstalk analysis. 3. Ensure that the noise values in your design are reasonable (within 40% Vdd). 4. All boundary conditions should be defined; that is, they should not have any undriven ports. Synopsys recommends using the set_driving_cell constraint instead of the set_input_transition constraint when defining boundary conditions. 5. Set clocks to propagated mode in both Astro and Primetime SI. 6. No set_input_delay on clock ports.

The Checklist Use the same inputs


1. Use the same reference libraries. a. Check the directory of each reference library in Astro and make sure that you have a subdirectory named LM. b. The LM subdirectory should contain the same .db files that you used in the PrimeTime SI set link_path command. c. Astro and PrimeTime SI will use the noise libraries that are available in the LM view. i. A complete noise library should contain I-V curves and noise immunity curves. ii. I-V curves are used to determine steady state driver resistance which is used to calculate static noise. If I-V curves are absent, both Astro and PrimeTime SI estimate steady state driver resistance. iii. Noise immunity curves are used to determine the noise susceptibility of the input pin. Note: To check the noise library information in your reference libraries in Astro, use the xtReportLibNoiseInfo command. 2. Use the same netlist. a. Check the read_verilog command in the PrimeTime SI script. The netlist file should be the one you wrote from Astro by using the astDumpHierVerilog command.

Page 2 of 8

Astro-PrimeTime SI Crosstalk Correlation Checklist

3.

Use the same Synopsys Design Constraints (SDC) file. i. Check the ataLoadSDC command in the Astro script and the read_sdc command in the PrimeTime SI script, making sure that they are reading the same SDC file. ii. Use the ataRemoveTC command in Astro and the reset_design command in PrimeTime SI before loading the SDC file to ensure that no other constraints were previously set. Use the same parasitics with coupling capacitance. a. Generate a PARA view in Astro by using the astGenPV command. b. Write the SPEF file by using the astSPEFOut command in Astro. This SPEF file can be used in PrimeTime SI. Make sure that the SPEF file has coupling capacitances by setting the following during astSPEFOut:
setFormField SPEF Out Dump coupling mesh 1

b.

In PrimeTime SI, use


read_parasitics keep_capacitive_coupling

c.

If PrimeTime SI issues any warning messages while reading the SPEF file, or if you find any net not annotated by PrimeTime SI, debug to ensure correlation is not affected. For example, a PARA-046 warning indicates that a coupling capacitance is missing from the victim net, but is found on the aggressor net. In this situation, PrimeTime SI assumes the same coupling capacitance on the victim to force matching coupling between the nets and adds this coupling capacitance value to the victim net which affects Ctotal. This will lead to correlation issues.

4.

Use the same operating conditions. When signal integrity is enabled in PrimeTime SI, it automatically goes into on-chip variation (OCV) mode. Starting with version X-2005.09, Astro supports analysis type on_chip_variation. For more details, see SolvNet article 017858. a. Using a single library Use the following command to set the operating condition in both tools:
set_operating_conditions max WCCOM max_library worst_lib min WCCOM min_library worst_lib -analysis_type on_chip_variation

In addition, select the max cell delay operating condition in the Model page of the AstroTime Timing Setup dialog box.
atCmdSetField "Delay Model Cell Delay OpCond" "max"

Page 3 of 8

Astro-PrimeTime SI Crosstalk Correlation Checklist

This ensures that Astro uses only the worst case library, in this case worst_lib for analysis. b. Using derated libraries Use the following command to set the operating condition in both tools:
set_operating_conditions max WCCOM max_library worst_lib min WCCOM_derate min_library worst_derate_lib -analysis_type on_chip_variation Select the min max cell delay operating condition in the Model page of the

AstroTime Timing Setup dialog box.


atCmdSetField "Delay Model Cell Delay OpCond" "min max"

Page 4 of 8

Astro-PrimeTime SI Crosstalk Correlation Checklist

Use the same setup for timing analysis


1. To debug signal integrity correlation issues, use the following settings in both timers. You set the Astro options in the Environment page of the AstroTime Timing Setup dialog box.
Astro Crosstalk
Enable CrossTalk Effects Enable CRPR On On si_enable_analysis timing_remove_clock_reconvergence_pessimism si_analysis_logical_correlation_mode

PrimeTime SI
true true false

Astro and PrimeTime SI will correlate with set_timing_derate. For more details, see SolvNet article 017858. Astro version X-2005.09 supports Clock Reconvergence Pessimism Removal (CRPR) with Signal Integrity. You can therefore measure correlation between Astro and PrimeTime SI with on-chip variance (OCV) and CRPR. However to debug any correlation issue, it is recommended that correlation first be measured without CRPR and set_timing_derate.

Use the same filtering settings


1. Electrical filtering a. Electrical filters are used during crosstalk analysis. You set the Astro options in the Xtalk page of the AstroTime Timing Setup dialog box.
Astro Crosstalk
Electrical Filter Threshold Single peak noise threshold Accumulate peak noise threshold Default 0.01 0.03

PrimeTime SI
Electrical Filter Threshold si_filter_per_aggr_noise_peak_ratio si_filter_accum_aggr_noise_peak_ratio Default 0.01 0.03

2.

Capacitance filtering b. Capacitance filtering (both aggressor filtering and victim filtering based on capacitance) is no longer used for crosstalk analysis. c. Aggressor filter thresholds are used during layout parasitic extraction in Astro. If you are correlating Astro layout parasitic extraction with the Star-RCXT tool, it is recommended that these thresholds be set to the same value as in the Star-RCXT run. The defaults are the same, beginning with Astro version V-2004.06-SP1 and Star-RCXT version 2002.03.

Page 5 of 8

Astro-PrimeTime SI Crosstalk Correlation Checklist

Astro timing setup


1.

Set the net delay model in the Model page of the AstroTime Timing Setup dialog box. High effort is the advanced net delay model

2.

Set the crosstalk circuit model in the Xtalk page of the AstroTime Timing Setup dialog box. Low effort is the default, but use medium effort for better correlation. Medium effort results in better correlation at the cost of runtime.

3.

Enable the xtDeltaTransScale parameter, Enter


axSetRealParam xt xtDeltaTransScale 1.0

Check the correlation with infinite timing windows (timing windows off)
With timing windows off, check the following correlation between Astro-Xtalk and PrimeTime SI. In Astro, deselect the Include Timing Window option in the Xtalk page of the AstroTime Timing Setup dialog box.
Astro Crosstalk
Include Timing Window Off

PrimeTime SI
set_si_delay_analysis -ignore_arrival [get_nets -hier *] set_si_noise_analysis -ignore_arrival [get_nets -hier *] si_xtalk_reselect_critical_path si_xtalk_exit_on_max_iteration_count false (default) 2 (default)

Check the correlation with timing windows (timing windows on)


With timing windows on, check the following correlation between Astro-Xtalk and PrimeTime SI. In Astro, select the Include Timing Window option in the Xtalk page of the AstroTime Timing Setup dialog box
Astro Crosstalk
Include Timing Window On (default)

PrimeTime SI
si_xtalk_reselect_critical_path si_xtalk_exit_on_max_iteration_count false (default) 2 (default)

With the above settings, PrimeTime SI uses infinite timing windows in the first iteration. It then selects nets on paths with negative slack and does a more accurate analysis with timing windows on these nets. PrimeTime SI is therefore more pessimistic on paths with positive slack, when compared with Astro.

Page 6 of 8

Astro-PrimeTime SI Crosstalk Correlation Checklist

If required, for correlation purposes, users can reselect nets using the following command in Primetime SI.
set_si_delay_analysis reselect [get_nets <list of nets>]

Do not select all nets as this would result in long runtime. Note: Enable the xtTimingWindowHighEffort parameter for more accurate timing window calculation. Enter
axSetIntParam xt xtTimingWindowHighEffort 1

Enabling this parameter will lead to longer runtime. This parameter is not supported during optimization

Understand the noise reporting paradigm


1. 2. Static noise height is reported in terms of absolute voltage, whereas switching noise is reported relative to Vdd. Astro crosstalk reports noise height, width, and slack if noise libraries are present in the LM view.
Astro Crosstalk (Medium Effort)
Static Noise (xtXTalkAnalysis) Noise height Noise Width Noise Slack Switching Noise Noise height (xtXTalkAnalysis) Delta Delay (astReportTiming) Ratio to Vdd volts time unit

Astro Crosstalk (Low Effort)


Static Noise (xtXTalkAnalysis) Noise height volts

PrimeTime SI
Static Noise (report_noise, report_noise_calculation) Noise height Noise Width volts time unit volts-time unit Switching Noise Noise height (get_attr class net si_xtalk_bumps $Nets) Delta Delay (report_timing crosstalk_delta) Ratio to Vdd

volts-time unit Noise slack Switching Noise

Noise height (xtXTalkAnalysis) Delta Delay (astReportTiming)

Ratio to Vdd

time unit

time unit

time unit

Astro-Xtalk version X-2005.09 and PrimeTime SI version X-2005.06 behave differently in the following areas:
Input_net_transition on boundary pin

Astro and PrimeTime SI use different estimation techniques to calculate driver resistance. It is recommended that you use set_driving_cell as explained in the prerequisites section of this application note. Clock groups Astro crosstalk analysis assumes that all the different clock domains are asynchronous. The

Page 7 of 8

Astro-PrimeTime SI Crosstalk Correlation Checklist

timing windows from different clock domains are overlapped to emulate the worst case scenario. PrimeTime SI, on the other hand, assumes that all the clock domains are synchronous, unless explicitly specified by set_clock_group asynchronous The overlapping of timing windows from synchronous clock domains is done as if they are from the same clock. Astro timing window overlapping will therefore result in pessimistic results compared to PrimeTime SI. Logical correlation with crosstalk analysis. Astro-Xtalk does not consider logical correlation while computing timing windows. This makes Astro-Xtalk pessimistic compared to PrimeTime SI in some cases. In version X-2005.06, Primetime SI has two features that are not supported in Astro version X-2005.09 a. composite aggressor b. enhanced window alignment

Page 8 of 8

Você também pode gostar