Você está na página 1de 75

Ministre de lEnseignement Suprieur et de la Recherche Scientifique Universit Larbi BenMhidi - Oum El Bouaghi Dpartement de physique

Technologie des Composants en Microlectronique

Tir du module ducatif en microlectronique Du professeur Olivier Bonnaud Universit de Rennes 1


http://www.microelectronique.univ-rennes1.fr/fr/index_chap1.htm

Adapt au cours de Master 1


MICROLECTRONIQUE TECHNOLOGIE 1

Par le Professeur Abdelhakim MAHDJOUB

Domaine
Science de la matire

Filire
Physique

Spcialit
COMPATIBILIT LECTROMAGNTIQUE Et COMPOSANTS ELECTRONIQUES

I- Purification du silicium
1) Sources du Silicium Le silicium existe en grande quantit la surface du globe terrestre. C'est le deuxime lment le plus frquent de la crote terrestre ; O2 (46%), Si (28%), Al (8%). Sa temprature de fusion est de 1415 qui est don c assez leve, et son affinit C, chimique est forte haute temprature. Le silicium cristallise dans une structure cubique face centre avec motif deux atomes dcals d'un quart suivant la premire diagonale, identique celle du diamant mais avec une distance interatomique lgrement plus leve. C'est donc un matriau avec une bonne cohsion et donc une bonne stabilit thermique. Les sources naturelles sont essentiellement les silicates (sable, etc.) mais aussi du SiO2 sous diverses formes (zircon, jade, mica, quartz ) donc avec plus ou moins de contaminants (qui lui donnent des couleurs diffrentes). Ainsi, le silicium existe essentiellement sous forme oxyde et ncessite d'une part d'tre rduit et d'autre part d'tre purifi afin d'obtenir un matriau dit de qualit lectronique ou EGS (Electronic Grade Silicon). La silice n'tant pas rduite simplement par l'hydrogne, il faut donc trouver une technique de rduction, notamment par le carbone trs haute temprature. 2) Puret chimique requise La qualit lectronique ou microlectronique est en fait difficile obtenir et ncessite une succession importante d'tapes de purification. Il faut se rappeler que d'une part toute la thorie effectue sur les dispositifs semi-conducteur est base sur un cristal parfait ou quasi-parfait. La notion de dopage tient compte du fait que l'on peut matriser la concentration d'atomes dopants au niveau de 1014 cm-3. Bien que ce chiffre puisse paratre grand il est en fait trs faible par rapport au nombre d'atomes par unit de volume du rseau cristallin. En effet, dans le cas du silicium, il y a 5.1022 atomes par cm3. Cela signifie que la puret chimique exige doit tre meilleure que 10-9, soit une impuret pour un milliard d'atome Si (ou 0,001 ppm). La dmarche va donc consister dans un premier temps obtenir du silicium de qualit dite mtallurgique MGS (Metallurgic Grade Silicon), puis de purifier le matriau pour atteindre la puret requise ou lectronique EGS (Electronic Grade Silicon). 3) Rduction de la silice La premire tape consiste effectuer une lectrolyse dans un four arc pour atteindre des tempratures suffisantes permettant de fondre la silice. Le SiO2 est mlang du carbone (charbon). En pratique, l'lectrode est en graphite et est consomme par l'arc lectrique. La raction bilan est la suivante, sachant qu'en ralit elle rsulte d'un grand nombre de ractions chimiques intermdiaires : SiC(liquide) + SiO2 (liquide) ---> Si (liquide) + SiO (gaz) + CO (gaz)

Nous donnons dans la suite quelques ractions intermdiaires mises en jeu dans le four arc montrant la complexit de la chimie effective ces hautes tempratures : SiO + SiO2 ---> Si (solide) + SiO (gaz) + CO (gaz) SiO2 + 2C ---> Si + 2CO SiO2 + C ---> + SiO + CO SiO + CO ---> SiO2 + C

Figure I.1 : Electrolyse de la silice permettant d'obtenir du silicium fondu de qualit mtallurgique [1]. Aprs cette opration, le silicium obtenu a une puret de 98 %. Il faut donc le purifier encore de plusieurs ordres de grandeur pour obtenir du matriau pour la microlectronique 4) Purification du silicium Il s'agit, en partant du silicium mtallurgique de faire une purification chimique. Une des mthodes utilises consiste faire une distillation partir d'un produit, liquide temprature ambiante, qui contient le silicium. Une possibilit consiste fabriquer un halognure de silicium. De nombreux procds ont t dvelopps par les diffrents producteurs mondiaux de silicium bass sur le ttrachlorosilane (SiCl4), c'est le cas de Rhne-Poulenc, Westinghouse, Texas, Saint Gobain, le dichlorosilane (SiH2Cl2) mis au point par Wacker, ou le trichlorosilane (SiHCl3) par Siemens ou Union Carbide. D'autres techniques sont bases sur le ttrafluorosilane (SiF4) ou le ttraiodure de silicium (SiI4). L'exemple choisi concerne la fabrication du trichlorosilane par pulvrisation du silicium ragissant avec le gaz de chlorure d'hydrogne (HCl ou acide chlorhydrique) suivant la raction : Si (solide) + 3HCl (gaz) 300 C > SiHCl3 (gaz) + H2 (gaz) La raction avec le chlore permet une premire purification puisque par exemple des prcipits chlors de mtaux ne sont pas mlangs au trichlorosilane. Une distillation permet alors une purification suprieure.

Le trichlorosilane purifi est ensuite rduit pour redonner du silicium dans un racteur prsent figure I.3. La raction chimique bilan est la suivante : SiHCl3 (gaz) + H2 (gaz) > Si (solide) + 3HCl (gaz)

Figure I.2 : Distillation du compos de silicium chlor. Aprs distillation, le racteur permet d'obtenir le dpt de silicium.

Figure I.3 : Schma d'un racteur CVD pour la production de silicium de qualit lectronique partir de SiHCl3 [1]. Le procd est dans ce cas identique un dpt chimique en phase vapeur (CVD). A partir d'un germe dans de trs longs tubes, on dpose progressivement le silicium. On obtient des lingots en structure polycristalline jusqu' 20 cm de diamtre. La puret obtenue est de l'ordre du ppm, soit des concentrations quivalentes de l'ordre de 1016 cm-3. 4

Le tableau suivant donne quelques exemples de concentrations rsiduelles aprs purifications des principales impurets ou lments dopants. Pour la plupart, elles sont suffisamment faibles pour pouvoir utiliser le matriau en microlectronique condition de fabriquer du cristal. C'est partir de ce silicium polycristallin que l'on doit fabriquer le monocristal.

Impuret Al B Fe P Sb Au

MGS (ppm) 1570 44 2070 28 -

EGS (ppm) <0,001 4 <0,002 0,001 0,00007

Comparaison de la concentration relative de quelques impurets ou dopants dans le silicium avant et aprs purification [2].

II- Fabrication des plaquettes

La fabrication des plaquettes partir du silicium purifi ncessite un grand nombre d'tapes que nous allons dtailler. Il faudra tout d'abord raliser un cristal, sous forme de lingot qui sera ensuite dcoup en rondelles pour constituer les plaquettes. Nous allons voir qu'un grand nombre de prcautions est ncessaire. 1) charge de polysilicium le plus pur possible : Le silicium purifi est utilis pour constituer la charge introduire dans le racteur de croissance. Le problme provient de la puret chimique qui, malgr sa grande valeur, reste encore faible en gard au dopage. Ce cristal de silicium comporte 5.1022 atomes par cm3. Avec une puret de 0,999999(difficilement accessible) le dopage non intentionnel quivalent peut tre de 5.1016 cm-3. Il faudra ainsi faire mieux dans la suite !

2) prparation de la charge fondre et transformer en lingot : Cette charge peut tre dope si l'on dsire des plaquettes dopes (substrats dops dans les procds). On rajoute ainsi, en proportion calcule, la quantit relative de dopant dans l'ensemble de la charge. 3) tirage et croissance du cristal : La charge, mise dans un creuset en graphite, est fondue. A partir d'un germe, on effectue un tirage progressif du lingot moyennant des conditions trs prcises (tempratures du creuset de charge fondue, de l'enceinte, gradient de temprature, transfert de chaleur, vitesse de tirage du germe, etc.). On obtient des lingots de diffrents diamtres suivant la vitesse de tirage. Cette mthode de tirage est appele "Czochralski". Notons que la diffrence de vitesse de diffusion des espces entre la phase liquide et la phase solide entrane une modification de la concentration relative des espces le long du lingot. C'est en particulier vrai pour la concentration de dopant qui implique que la rsistivit ne sera pas constante le long du lingot, toute chose gale par ailleurs. L'volution de la dimension des lingots a t lie l'volution de la matrise des procds et des quipements associs avec comme but l'augmentation du rendement de fabrication et la diminution des cots de production par circuit intgr ralis. Ainsi, en moins de 30 ans, le diamtre des plaquettes a vari dans un rapport 10. 6

1964 1969 1974 1978 1982 1985 1990 1998

25 mm 50 mm 75 mm 100 mm 125 mm 150 mm 200 mm 300 mm

Tableau II.1 : Evolution de la taille des substrats de silicium depuis le dbut des circuits intgrs

Figure II.1 : Tirage d'un lingot par la technique Czochralski. Le diamtre du lingot est li aux paramtres physiques en cours de tirage.

Une autre technique peut tre employe pour raliser des lingots de grande dimension. Elle consiste partir d'un lingot de silicium polycristallin obtenu dans le racteur de rduction du trichlorosilane. La cristallisation est obtenue en pilotant la croissance partir d'un germe fix en extrmit de lingot par le dplacement d'une zone fondue (figure 2). Cette zone est chauffe en limite de fusion du silicium grce l'alimentation d'une bobine parcourue par un courant haute frquence (courant de Foucault). Cette mthode est aussi utilise pour purifier un lingot ; on exploite en effet les phnomnes de diffusion des impurets haute temprature dans un solide. Dans ce cas, on peut monter jusqu' 3 bobines autour du lingot qui sont parcourues par un courant HF. C'est un moyen pour obtenir des lingots de faible dopage rsiduel notamment ncessaires pour la ralisation de composants de puissance (zones quasiintrinsques permettant la tenue en tension).

Figure II.2 : Cristallisation du lingot et purification par la mthode de fusion de zone. Une autre technique peut tre utilise pour le tirage des lingots. Dans le cas de composs semi-conducteurs III-V, on peut raliser le lingot dans un bac paralllpipdique dont le fond est arrondi. La cristallisation s'effectue progressivement depuis une extrmit ; c'est la mthode dite "Bridgman".

Figure II.3 : Tirage d'un lingot par la mthode Bridgman. Cette technique est plus spcialement utilise pour les lingots de composs III-V tel que le GaAs. 4) queutage du lingot : Cette opration consiste liminer les extrmits du lingot soit mal cristallises soit riches en impurets (surtout si la technique de croissance a t la fusion de zones ). 5) contrle de rsistivits sur les extrmits du lingot : En raison de la variation de la concentration d'impurets lors tirage du lingot, la rsistivit finale varie en fonction de la position. Une vrification des spcifications (gamme de variation) est ncessaire. La technique employe est du type mesure quatre pointes. 6) polissage cylindrique : Lors du tirage, le diamtre du lingot varie lgrement ce qui constitue des ondulations sa surface. Pour obtenir des plaquettes de mme diamtre un polissage cylindrique est ncessaire. 7) reprage cristallographique du lingot par mthode de diffraction des rayons X : Il sera ncessaire de connatre les orientations cristallographiques afin d'effectuer en fin de fabrication la dcoupe suivant les axes cristallographiques. Aprs reprage, on cre un mplat servant de rfrence (plan 100 par exemple). 8) polissage d'un mplat de rfrence cristallographique et gomtrique : Cette rfrence servira au cours du procd (orientation des zones de conduction par rapport aux axes du cristal, reprage des motifs lors de la photolithographie, axes cristallographiques pour la dcoupe des puces). Par ailleurs, suivant le type de dopage de substrat et son orientation cristallographique, on fera des repres supplmentaires. Notons que sur les plaquettes de 200 mm, seul subsiste un reprage cristallographique par une petite encoche ; la nature du substrat est indique dans le marquage (effectu par laser).

Figure II 4 : Mplats d'identification des plaquettes. On peut facilement reprer les types n des types p et leurs orientations 9) dcoupage des plaquettes : Ce dcoupage s'effectue l'aide d'une scie diamante de 400 m d'paisseur environ pour les lingots de diamtre important. Des techniques de coupes par scie fil commencent tre utilises chez de nombreux fabricants. Dans ce dernier cas, les pertes de coupes sont plus faibles. plaquette environ 400 m 600 m d'paisseur brute de sciage

Compte tenu de l'queutage et du polissage 50% 60% du lingot est limin. En pratique, les plaquettes de dimension suprieure 150 mm, font au moins 500 m d'paisseur en cours de procd afin d'viter une fragilit mcanique. Cette paisseur est rduite 250 - 300 m avant dcoupage (tape d'amincissement) afin de faciliter les changes thermiques (voire lectriques) avec le support de puce dans les botiers. 10) traitement thermique : Le sciage cre des contraintes mcaniques dans le cristal. Il faut relaxer le cristal par une monte en temprature (recuit) des tempratures de l'ordre de 600 C 700 A ces tempratures, les nergies propres des atomes sont suffisantes pour leur C. permettre de se repositionner dans les sites cristallins. Ce traitement thermique prsente aussi l'avantage de diminuer l'effet des atomes oxygne (type donneur) ainsi que de stabiliser la rsistivit. 11) polissage des bords : Aprs sciage, des dfauts subsistent sur la priphrie des plaquettes. Il faut l'liminer. Par ailleurs, pour faciliter la manipulation des plaquettes en cours de procd, on arrondit le champ. Cela permet de ne pas dgrader les dispositifs de prises et de supprimer des amorces de cassures. 12) tri des plaquettes en fonction des paisseurs : Les paisseurs aprs dcoupe pourront tre sensiblement diffrentes. Il faut donc limiter la quantit de matire liminer par le polissage (le tri se fait par gamme de 10 m par exemple). 13) enrobage des plaquettes dans une mixture d'alumine en solution - polissage : Afin d'amliorer l'tat de surface des plaquettes, ces dernires subissent un polissage l'aide d'une mixture contenant des grains d'alumine de dimension micronique.

10

14) nettoyage : Cette tape consiste liminer les composs abrasifs et les contaminants par des solvants et de l'eau dsionise. 15) attaque chimique des plaquettes : Lors des diffrentes tapes, il s'est form, en surface des plaquettes, un oxyde natif contenant des impurets. Ces impurets sont soit mtalliques et sont limines par une solution acide, soit organiques et limines par une solution basique : - solutions acides : HNO3, CH3COOH, - solutions basiques : KOH. On n'utilise pas de soude (NaOH) car le sodium est un polluant violent pour les oxydes, plus particulirement pour les oxydes de grille des transistors MOS. L'oxyde natif est finalement attaqu par une solution d'acide fluorhydrique (HF). On obtient alors une surface chimiquement neutre avant un polissage "miroir". 16) endommagement de la face arrire : Le partie utile de la plaquette se trouve trs prs de la surface suprieure. Il va s'agir de rendre cette zone la plus pure possible aussi bien au niveau des impurets (atomes trangers) que des dfauts cristallographiques ou structuraux (macles, dislocations, etc.). On va alors crer des dfauts sur la face arrire par sablage ou bombardement LASER, ce qui provoque un dpolissage. Lors des traitements thermiques ultrieurs, les impurets du ct de la face active vont migrer dans le substrat et tre piges par des tats plus favorables nergiquement crs par les dommages de la face arrire. On appelle ce phnomne, l'effet "getter".

Figure II.5 : La face arrire est endommage. Elle perd son aspect miroir. Cette opration permet d'amliorer la zone active trs proche de la face suprieure. 17) tri en fonction des paisseurs : Aprs dpolissage de la face arrire, les paisseurs ont vari. On effectue donc un nouveau tri par gamme d'paisseur. 18) prparation au polissage final de la face active : Les plaquettes sont montes dans des polisseuses. Elle est constitue de satellites qui tournent sur eux-mmes afin d'amliorer l'homognit de polissage des plaquettes. 11

Figure II.6 : Plaquettes montes sur une polisseuse. 19) limination de l'excs d'paisseur : 25 m environ sont limins. 20) polissage final "miroir" Ce polissage peut tre mcanique ou mcano-chimique qui combine la fois les effets mcaniques et chimiques. Il faut liminer les rayures, les peaux d'orange. Cette opration est effectue l'aide d'une machine identique celle de la figure 6, mais avec des disques de polissage peu agressifs et une solution abrasive trs petits grains (diamtre de 0,1 0,5 m) 21) dmontage, nettoyage, tri : Ces dernires oprations permettent : - le dmontage des plaquettes des supports de polissage, - le nettoyage final, - le tri final en fonction des paisseurs. 22) test de rsistivit des plaquettes , tri final en fonction des rsistivits : Afin de slectionner les lots pour les clients, un tri en fonction de la rsistivit est effectu. 23) reprage - marquage : Un marquage de lots, lingots, date, etc.. , est ralisable l'aide d'un faisceau laser. Cela permet de suivre la plaquette tout au long des tapes de fabrication.

12

24) nettoyage final en salle blanche : Pour dmarrer l'ensemble des tapes du procd de fabrication des circuits intgrs, il ne faut pas de graisse, pas de poussires, etc. Un nettoyage final est ncessaire. 25) inspection visuelle : C'est l'tre humain qui reste l'heure actuelle le meilleur inspecteur. Il dtecte des variations de couleur, des rainures, des rayures, des poussires de dimensions infrieures au micron. Un systme automatique balayage optique sur toute la plaquette ne serait pas encore suffisamment performant. Prenons, par exemple, une surface de 10 cm x 10 cm de cts contrler. Il faudra balayer cette surface par zone de mesure (ou "point" de mesure), qui sont de 0,05 m de cot, pour simplifier. Un point de 0,05 m x 0,05 m ncessite au moins 1 s de temps de traitement (analyse spectrale par exemple). Dans ce cas, le temps total d'analyse est:

C'est un temps norme et donc peu raliste. En fait, dans ce cas lil humain est beaucoup plus efficace car il traite l'information en parallle grce des millions de cellules rtiniennes ! 26) test de planit : Ce test est important pour la lithographie. Il existe aujourd'hui des machines tester la planit base sur une mesure optique (dviation d'un faisceau laser). Aprs l'ensemble de ces tapes, les plaquettes sont prtes pour subir les tapes de fabrication des circuits intgrs (ou des composants discrets).

13

III- Le dopage
Un dopant, dans le domaine des semi-conducteurs, est une impuret ajoute en petites quantits un matriau pur afin de modifier ses proprits de conductivit. Les proprits des semi-conducteurs sont en grande partie rgies par la quantit de porteurs de charge (lectrons ou trous) qu'ils contiennent. Les atomes dopants vont se substituer certains atomes initiaux et ainsi introduire davantage d'lectrons ou de trous. Les atomes dopants sont en phase dilue : leur concentration reste ngligeable devant celle des atomes du matriau initial. Les dopants peuvent tre introduits lors de la phase de fabrication des plaquettes ou dans les diffrentes tapes de fabrication du composant. Parmi les mthodes de dopage alors utilises on peut citer essentiellement le dopage par diffusion ou par implantation ionique.

A) La diffusion
Le phnomne de diffusion est un phnomne trs gnral dans la nature, qui correspond la tendance l'talement d'espces, particules, atomes ou molcules grce une excitation nergtique apporte par la chaleur. Suivant le milieu dans lequel se dplacent ces espces, l'talement sera plus ou moins grand. A temprature ambiante le phnomne de diffusion sera trs important dans un milieu gazeux, plus faible dans un milieu liquide et pratiquement nul dans un milieu solide. Pour obtenir un phnomne de diffusion dans un solide ou un cristal, il faudra chauffer le matriau des tempratures voisines de 1000 C. Dans la suite, nous nous intresserons essentiellement aux mcanismes de diffusion dans le milieu solide, sachant qu'il est possible d'utiliser aussi des mcanismes en milieu gazeux voire liquide au niveau des mises en uvre technologiques de procds particuliers. Pour mieux comprendre l'aspect macroscopique du phnomne de diffusion, il faut avoir une ide des phnomnes et mcanismes mis en jeu l'chelle atomique. 1) Mcanisme de diffusion l'chelle atomique Les mcanismes de diffusion mis en jeu dans un cristal vont dpendre de la nature du cristal et de la nature de l'espce diffusante. On peut noter que les atomes constituant le cristal peuvent eux-mmes diffuser ; on parlera alors de mcanisme d'autodiffusion. L'autodiffusion est importante puisqu'elle permet notamment de crer des lacunes dans le rseau cristallin.

Figure 1 : Mcanismes de diffusion l'chelle atomique [2].

14

Comme voqu ci-dessus, les mcanismes ne seront possibles qu' la condition que les espces concernes soient suffisamment excites (niveau d'nergie suffisant) pour statistiquement franchir les barrires d'nergie que constituent par exemple les barrires de potentiel atomique. Ces barrires de potentiel vont dpendre des mcanismes mis en jeu. Par exemple un petit atome tel que le Bore pourra se "faufiler" entre les mailles du rseau cristallin et ncessitera donc une nergie plus faible pour se dplacer. Les quatre principaux mcanismes recenss sont : - mcanisme lacunaire, correspondant l'occupation d'une lacune laisse par un atome du rseau cristallin qui peut, lui aussi se mouvoir en laissant des lacunes, - mcanisme interstitiel, correspondant au dplacement des atomes entre les sites cristallins. Ce mcanisme sera prpondrant pour les petits atomes, - mcanisme interstitiel combin au mcanisme lacunaire. Ce phnomne correspond un placement en site substitutionnel d'un atome initialement positionn en site interstitiel tout en envoyant en site interstitiel l'atome en site cristallin d'origine. Ce phnomne correspond au "carreau sur place" du joueur de boules qui chasse la boule en lui prenant sa place, - mcanisme de groupe mettant en jeu plusieurs atomes. Ce mcanisme de placement en force est plutt typique des gros atomes. Ces mcanismes peuvent tre modliss indpendamment mais ncessiteraient des gros moyens de calcul pour simuler l'avancement d'une quantit importante d'atomes dopants dans le cristal. Il est donc plus facile de traiter le problme de faon macroscopique et statistique, ce que nous allons faire dans la suite. 2) Equations de diffusion La premire loi de Fick traduit la tendance l'talement ; le flux d'atomes est proportionnel au gradient de concentration de ces atomes et s'exprime par :

quation dans laquelle D est le coefficient de diffusion. D dpend fortement de la temprature ; quasi-nul la temprature ambiante, ce coefficient est de l'ordre de l'unit en micron carr par heure pour des tempratures de l'ordre de 1100 C. La deuxime loi prendre en compte est l'quation de continuit. Dans un lment de volume donn, d'paisseur dx, si le flux entrant est suprieur au flux sortant, la concentration de l'espce considre augmente. Cette quation est aussi utilise pour les porteurs lectrons et trous dans un semi-conducteur mais dans le cas des atomes il n'y a ni gnration, ni recombinaison.

15

La combinaison des deux quations prcdentes permet d'aboutir la deuxime loi de Fick suivante :

Pour intgrer cette quation diffrentielle qui comporte une drivation par rapport au temps et une double drivation par rapport l'espace, il faut dterminer 3 conditions particulires (ou limites). Ces conditions vont dpendre du procd technologique mis en uvre.

3) Procds de diffusion Les procds de diffusion vont dpendre de la nature des sources de dopants. Il existe trois grands types de sources qui permettent de fournir les lments dopants que l'on doit faire pntrer dans les substrats. Ces sources sont gazeuses, liquides ou solides.

Figure 2 : Diffusion dans un four partir de sources gazeuses. Les gaz dopants sont entrans par un gaz porteur neutre, tel que l'azote.

Les sources gazeuses sont les gaz tels que l'Arsine, AsH3, la phosphine, PH3 ou le diborane B2H6 (figure 2). Notons qu'il circule en permanence un gaz neutre (azote) afin d'viter toute pollution par des lments venant de l'atmosphre ambiante. Cet azote doit tre trs pur afin de ne pas polluer le four.

16

Figure 3 : Diffusion partir de sources liquides. Les ballons contenant les liquides sont chauffs pour vaporiser le liquide afin de le faire pntrer dans le four. Bien qu'a priori ces gaz soient simples mettre en uvre, ils sont par contre trs dangereux pour l'homme. On leur prfre des sources liquides telles que POCl3 ou BBr3 qui sont liquides temprature ambiante mais facilement vaporises pour tre introduites dans des fours de diffusion (figure 3). On peut aussi utiliser des sources solides que sont les verres contenant les dopants tels que nitrure de bore ou verre dop au phosphore. Ces sources se prsentent sous forme de plaquettes et sont en gnral introduites dans le four en alternance avec les plaquettes doper (figure 4). Ces plaquettes sont actives par une oxydation qui permet de former un oxyde. Dans le cas du Bore, du B2O5 se forme. Ces oxydes ou verres s'vaporent et se dposent sur les plaquettes. Au cours de ce dpt, une fraction pntre depuis la surface dans le silicium. Aprs retrait des sources solides du four, les lments dopants sont diffuss vers l'intrieur du substrat par une tape thermique ( haute temprature). En pratique, avant l'tape de diffusion, on limine le verre dpos par gravure chimique, la quantit de dopant introduite dans le substrat en surface durant le dpt tant suffisante.

Figure 4 : Procd de dopage partir de sources solides. Les plaquettes de verre dop permettent de raliser un dpt sur les substrats monts sur une nacelle (ou porte substrats).

17

4) Diffusion partir de sources gazeuses : Dans le cas des sources gazeuses, la concentration en surface dans le milieu ambiant est constante ce qui signifie qu'en phase solide, en surface la concentration, Cs, est aussi constante. La condition limite s'crira donc : C(0, t) = Cs (quel que soit le temps en x=0) La deuxime condition est une condition initiale, qui suppose que la concentration de l'espce diffuser est initialement nulle (ou ngligeable) dans le substrat. Cette condition s'crit donc : C(x, 0) = 0 (quel que soit x t=0) La dernire condition est plus intuitive. Elle postule qu' une distance infinie, la concentration est nulle quel que soit le temps. Cela se conoit bien si l'on se rappelle que pour que la diffusion se produise, il faut un gradient non nul de concentration. C( , t) = 0 (quel que soit le temps) A partir de ces conditions aux limites, la rsolution de l'quation diffrentielle (2me loi de Fick) donne :

Une reprsentation graphique de ce profil est donne figure 5 ; les courbes sont gnralement traces en chelle semi-logarithmique afin de bien apprcier le domaine de variation de concentration.

Figure 5 : Diffusion de dopant dans un substrat faiblement dop de type oppos partir d'une source de dopant gazeuse. Cs est la concentration en surface. 18

5) Diffusion partir de sources solides ou de dose en surface : C'est aussi le cas lorsque le dopant a t introduit en surface par implantation ionique (voir plus loin). La quantit totale (ou dose) est constante. C(x, 0) = 0 condition initiale. ( S : dose totale constante)

Rappelons que la quantit totale de dopant par unit de surface (ou dose) est constante. De plus : C(x, ) = 0 L'intgration de l'quation diffrentielle donne :

La forme du profil obtenu est gaussienne comme reprsente sur la figure 6. Comme la dose totale est constante, lorsqu'il y a talement, la concentration maximale diminue. C'est ce qui se produit aprs une tape haute temprature. Ajuster les paramtres de dopage (temprature, dure et type de dopant) et cliquer sur "Ok" pour afficher les courbes:

Figure 6 : Evolution du profil de dopage en fonction du temps pour une diffusion partir d'une dose en surface. Les profils sont gaussiens. L'intgrale sous la courbe (en chelle linaire) est constante.

19

B) L'implantation : Cette opration consiste introduire des atomes ioniss projectiles avec suffisamment d'nergie pour pntrer dans l'chantillon cible (en gnral une plaquette). Cette pntration ne s'effectue que dans des rgions de surface. Cette opration est essentiellement utilise pour doper le semiconducteur durant la fabrication des dispositifs (cration de zones de source ou de drain d'un transistor MOS, d'une base et d'un metteur dans un transistor bipolaire, etc.). Les atomes dopants sont en gnral : B, P, As, In, etc. Les nergies des atomes ioniss peuvent tre dans la gamme 3 keV 500 keV. En fonction de la nature du matriau implant, de la nature de l'ion acclr et de l'nergie d'acclration la profondeur moyenne de pntration peut aller de 100 1 m. En effet, un calcul approximatif montre qu'une centaine d'lectron-volts est perdue par couche atomique (environ 2,5 ). 1) Intrt de cette technique : Elle permet un contrle prcis de la quantit totale d'atomes implants (dose d'implantation) et du profil de concentration du dopant. Cette prcision permet en particulier l'ajustement de la valeur du gain en courant d'un transistor bipolaire ou l'ajustement de la tension de seuil d'un transistor MOS (choix de la nature d'un transistor - enrichissement ou appauvrissement). Notons que ce procd s'effectue sous vide et donc en atmosphre sche. 2) Inconvnients : Le bombardement d'un monocristal par des atomes cre des dommages dans la structure cristalline implante. Il y a donc ncessit de restituer la cristallinit du matriau ; ceci est ralis par un recuit thermique. Ce recuit thermique permet aussi une redistribution des atomes dopants et donc une modification du profil de dopage par phnomne de diffusion. Notons que ce recuit peut aussi permettre l'activation du dopant implant (passage en site substitutionnel). Cristal avant implantation Cristal aprs implantation

20

3) L'implanteur : L'implanteur est en pratique un acclrateur d'ions. Il est compos des parties suivantes visibles sur la figure 7 : - gnration des ions partir d'une source solide, liquide ou gazeuse dans un plasma excit 25kV, - slection des ions par champ magntique effectuant le tri par le rapport masse sur charge, - acclration des ions l'nergie d'implantation souhaite, - mise en forme du faisceau d'ions par des lentilles lectrostatiques, - dispositif de balayage en x et y afin d'implanter de faon uniforme les plaquettes.

Figure 7 : Schma simplifi d'un implanteur ionique.


dviation du faisceau pour liminer les ions neutraliss sur le parcours et qui ne pourraient tre dnombrs, chambre d'implantation.

4) Profil de concentration : Les ions incidents vont perdre leur nergie par chocs successifs avec les atomes du rseau cristallin. Ceci explique d'une part, la dispersion des trajectoires et d'autre part, que l'on dfinisse statistiquement une profondeur moyenne de pntration. La statistique qui convient assez bien est gaussienne. Nous dfinissons ainsi deux paramtres : - la profondeur moyenne de pntration (range) : RP, avec un cart type RP. - l'cart moyen latral (perpendiculaire la direction d'implantation), R

21

Avant Implantation

Aprs Implantation

Figure 8 : Mesure de la dose par intgration du courant d'ions sur une surface talonne [5]. Notons que si la direction d'incidence est parallle un plan rticulaire du rseau, les atomes peuvent effectuer un parcours de pntration plus important sans choc. Cet effet s'appelle la canalisation. Pour viter ce phnomne qui est en gnral mal contrl, on cre un angle d'incidence de l'ordre de 7 pour le Silicium.

Figure 9 : Statistiquement la profondeur moyenne de pntration est Rp. Deux carts types sont considrer, l'un suivant la direction d'incidence, l'autre perpendiculairement [6]. Statistiquement le profil obtenu est gaussien en premire approximation.

avec

tant la dose implante

22

Figure 10 : Illustration de profils latraux aprs implantation travers un masque. Les courbes d'isoconcentration sont reprsentes sur la figure de droite [7].

23

Suivant la nature des ions implants, on propose des profils plus prcis. Pour le phosphore ou l'arsenic, on propose des modles "double gaussiens" pour lesquels l'cart type est diffrent avant et aprs le maximum de concentration. Dans le cas du bore, pour les fortes nergies, le profil s'loigne fortement de la gaussienne. On peut expliquer simplement cet cart par le fait que l'ion bore, de trs petite dimension, peut tre rtrodiffus dans le cristal. On utilise dans ce cas le profil Pearson. La figure 11 montre les profils obtenus suite une implantation de bore diffrentes nergies. L'cart au profil gaussien est important avant les maximums.

Figure 11 : Distribution des atomes de Bore implants dans du Silicium [8].

24

IV - Oxydation du Silicium
L'oxydation est une tape trs importante dans la ralisation des circuits intgrs au silicium, puisque c'est grce cette proprit spcifique que le silicium, qui n'est pas a priori un trs bon semi-conducteur, est devenu le matriau le plus utilis en microlectronique. Cette opration est ncessaire tout au long des procds modernes de fabrication des circuits intgrs. Il est donc primordial de savoir raliser un oxyde de bonne qualit. L'oxyde peut servir : - de masque d'implantation ou de diffusion de dopants, - de couche passivante la surface du silicium, - de zones d'isolation entre diffrents composants d'une structure intgre, - de couche active dans les transistors MOS (oxyde de grille), - d'isolation lectrique entre des couches adjacentes pour amliorer l'intgration et la diminution des dimensions ("espaceur" par exemple), - d'isolation lectrique entre les diffrents niveaux de mtallisation ou de couches conductrices en silicium polycristallin fortement dop, - de couches sacrificielles permettant d'amliorer les performances et l'intgration des circuits. Ces couches sacrificielles peuvent aussi tre utilises pour fabriquer des microstructures base de silicium polycristallin et intervenir dans des microsystmes intgrs (MEMS : micro-electro-mechanical systems). 1) Principe de l'oxydation Il existe plusieurs techniques pour obtenir un oxyde : - l'oxydation thermique en prsence d'oxygne, dite oxydation sche, - l'oxydation thermique par voie humide en prsence d'oxygne et de vapeur d'eau, - l'oxydation thermique vapeur en prsence de vapeur d'eau uniquement, - l'oxydation anodique, obtenue par voie lectrochimique, - l'oxydation plasma, ralise l'aide d'un plasma d'oxygne. Notons que nous diffrentierons dans la suite, l'opration technologique d'oxydation de celle du dpt d'oxyde qui n'entrane pas les mmes contraintes, thermiques notamment. L'opration d'oxydation consiste donc oxyder le Silicium depuis la surface du substrat. Les ractions principales sont les suivantes :

Si solide + O2 > SiO2 solide Si solide + 2 H2O > SiO2 solide+ 2H2
Pour obtenir un oxyde de qualit lectronique satisfaisante, on prfre l'oxydation thermique soit avec de l'oxygne, soit en prsence de vapeur d'eau. En gnral, la croissance de l'oxyde avec de l'oxygne pur donne une croissance plus lente de l'oxyde qui lui confre de bonnes proprits lectroniques (peu de dfauts lectriquement actifs). La croissance avec de l'eau donne une croissance plus rapide 25

mais plus de dfauts lectriques. Cette mthode sera donc prfre pour raliser des oxydes pais (quelques milliers d'Angstrm) de masquage ou d'isolation. Par ailleurs, le Silicium s'oxyde temprature ambiante en prsence de l'atmosphre (qui contient de l'oxygne); mais ds que la couche d'oxyde atteint 2 ou 3 couches atomiques, le phnomne d'oxydation se bloque. On dit que la couche est passivante. Pour obtenir une oxydation sur une "grande paisseur", il faudra activer le phnomne par une lvation de temprature. La couche de Silicium initiale ragit avec l'lment oxydant pour former le SiO2 ; on va ainsi consommer du Silicium. L'interface Si/SiO2 va donc se retrouver "audessous" de la surface initiale. Un calcul simple montre que la fraction d'paisseur situe "au-dessous" de la surface initiale reprsente 46% de l'paisseur totale de l'oxyde ; la fraction "au-dessus" reprsente donc 54% (figure 1).

Figure 1 : Oxydation du Silicium. Une partie du substrat a t consomme lors de l'oxydation Cette augmentation de volume aura des consquences importantes sur la planit de la surface de la plaquette lorsque l'on ralisera des oxydations localises. En effet, l'augmentation de volume sera locale et crera donc un relief comme reprsent fig 2.

Figure 2 : Effet d'une oxydation localise du Silicium. L'augmentation de volume cre un relief la surface de la plaquette. Les oprations d'oxydations s'effectuent en gnral dans des fours similaires ceux de diffusion dans lesquels on fait circuler de l'oxygne, sec ou humide, ou de la vapeur d'eau (figure 3). On peut aussi crer la vapeur d'eau dans le four en effectuant une synthse partir d'un flux d'hydrogne et d'un flux d'oxygne. Cette raction tant trs exothermique, elle est donc dangereuse. Le dispositif contient alors un grand nombre de scurits (dtection de flamme, contrle des dbits, etc.) afin d'viter toute explosion. C'est ce type de racteur qui est principalement utilis chez les industriels. Il faut noter que la puret chimique des gaz employs doit tre trs bonne (moins de 10ppm d'impurets totales).

26

Figure 3 : Oxydation thermique avec de l'oxygne ou de la vapeur d'eau. On peut aussi fabriquer la vapeur d'eau partir d'une torche hydrogne brlant en prsence d'oxygne 2) Modlisation de l'oxydation Le modle de base de l'oxydation est reprsent sur la figure 4 qui distingue les 3 domaines dans lesquels il faut considrer des mcanismes diffrents : - l'atmosphre ambiante contenant l'lment oxydant (soit de l'oxygne soit de la vapeur d'eau soit une combinaison de ces deux lments), - l'oxyde qui est travers par l'lment oxydant par phnomne de diffusion. Notons que cette diffusion est ngligeable temprature ambiante mais fortement active thermiquement, - le Silicium, la surface duquel se produit la raction chimique d'oxydation. Le calcul est bas sur l'analyse des flux de l'espce oxydante dans les diffrentes zones. Si on raisonne en rgime stationnaire, les trois flux sont gaux : F1 = F2 = F3

Figure 4 : Modle de base pour l'oxydation thermique. On met en oeuvre les 3 flux de l'espce oxydante en phase gazeuse, dans l'oxyde et l'interface Si/SiO2 [9].

27

F1 est le flux de l'lment oxydant en phase gazeuse. On a donc une quation de diffusion type 1re loi de Fick. En appelant CG la concentration dans l'atmosphre, loin de la surface, et CS, la concentration en surface F1 = hG (CG - CS) hG est le coefficient de transfert de masse en phase gazeuse. On peut relier les concentrations CG et CS en fonction des pressions partielles en utilisant la loi des gaz parfaits : CG =PG/kT CS =PS/kT

En utilisant la loi de Henry, on peut convertir la pression partielle en surface du cristal en une concentration quivalente la surface mais du ct matriau solide. CO = H.pS | C* = H.pG

H est la constante de Henry et C* est la concentration quivalente que l'on aurait dans le cristal pour une pression partielle dans le gaz. On peut ainsi crire le flux F1 : F1 = h (C* - Co) h est le coefficient de transfert massique, avec

. F2 est le flux de l'lment oxydant dans l'oxyde, qui obit la loi de Fick :

soit encore F3 est le flux de raction chimique l'interface Si/SiO2. ce flux est proportionnel la concentration de l'espce oxydante au niveau de l'interface. F3 = ks.Ci A partir de la relation F1 = F2 = F3, on en dduit les coefficients Ci et Co :

28

A partir de ces quations, on peut maintenant valuer la variation d'paisseur d'oxyde, donc la croissance, partir du flux F3. En appelant N1 le nombre d'atomes oxydants par unit de volume,

En supposant qu'au temps t = 0, l'paisseur (initiale) de l'oxyde est di, la rsolution de l'quation diffrentielle du premier ordre ci-dessus se fait simplement en passant le dnominateur du terme de droite au numrateur gauche. On obtient ainsi un lment en do et un lment constant intgrer en ddo. La solution se prsente donc sous la forme :

do2 + A.do = B (t + )
avec

On peut alors exprimer l'paisseur de l'oxyde, do, en fonction de A, B et .

On peut simplifier l'expression de do en regardant des situations limites : - pour des temps importants, l'paisseur varie en racine du temps, c'est--dire que la croissance sera de plus en plus lente. Il faudra donc jouer sur la valeur de B en fonction de la temprature pour avoir des temps raisonnables d'oxydation (de quelques dizaines de minutes quelques heures maximum).

t >>

do2 = B.t

- pour des temps faibles, l'paisseur est proportionnelle au temps. La condition est la suivante : (t + ) << 2/4

do = (B/A).(t + )

Les coefficients, A, B et sont donns dans les tableaux qui suivent (d'aprs B.E. Deal & A.S. Grove [9]). Ils dpendent du type de l'oxydation, sche ou humide, et fortement de la temprature.

29

Constantes d'oxydation pour une oxydation humide du Silicium Temprature d'oxydation C 1200 1100 1000 920 A (m) 0,050 0,110 0,226 0,500 B(m2/h) 0,72 0,510 0,287 0,203 B/A (m/h) 14,40 4,64 1,27 0,406 (heures) 0 0 0 0

Constantes d'oxydation pour une oxydation sche du Silicium Temprature d'oxydation C 1200 1100 1000 920 800 700 A (m) 0,040 0,090 0,165 0,235 0,370 ... B(m2/h) 0,045 0,027 0,0117 0,0049 0,0011 ... B/A (m/h) 1,12 0,30 0,071 0,0208 0,0030 0,00026 (heures) 0,0019 0,00693 0,029 0,098 0,687 7,81

Les coefficients intervenant dans ces tableaux varient trs fortement en fonction de la temprature. Comme dans le cas de la diffusion d'atomes dopants dans le cristal, la diffusion des lments oxydants dans l'oxyde sera thermiquement active suivant une loi d'Arrhnius.

Figure 5 : Effet de la temprature sur le coefficient d'oxydation parabolique dans les cas d'oxydation sche, humide et vapeur. La variation suit la loi d'Arrhnius [9].

30

Comme indiqu dans le modle, pour des temps d'oxydation relativement faibles, la variation d'paisseur en fonction du temps d'oxydation est pratiquement linaire. Par ailleurs, pour des oxydations de faibles paisseurs, on doit tenir compte de la prsence de l'oxyde natif ce qui correspond une ordonne l'origine non nulle sur la figure 6.

Figure 6 : Epaisseur d'oxyde obtenu par oxydation sche 780, 890 et 980 C. La variation est pratiquement linaire pour les faibles temps d'oxydation. La raction d'oxydation l'interface tient compte du nombre d'atomes disponibles pour la raction chimique. Lorsque les plans sont plus denses, la vitesse d'oxydation est lgrement suprieure. C'est le cas de l'oxydation d'un substrat orient (111) compar celui orient (100).

Figure 7 : Effet de l'orientation cristalline sur la croissance d'oxyde. Le substrat orient <111> a une vitesse d'oxydation suprieure [10].

31

V Les dpts
1) Introduction : Cette opration est ncessaire lorsqu'il faut raliser une couche conductrice, isolante ou de masquage dans un procd qui n'utilise pas directement le matriau du substrat. Par exemple, dans le cas o l'on souhaite obtenir un oxyde de silicium lorsque le substrat et/ou la couche sous-jacente ne sont pas constitus de Silicium (celle-ci peut tre par exemple une couche mtallique ou une couche de nitrure de Silicium), la seule solution consiste dposer de l'oxyde (ou un autre type d'isolant). En effet, l'oxydation thermique n'est plus possible et il faut effectuer un dpt. Celui-ci s'effectue dans un four ou un bti qui comprend tous les constituants de la couche raliser. Les techniques de dpt qui seront utilises pour dposer une couche d'isolant ou d'oxyde seront le plus souvent trs gnrales en permettant la ralisation de couches d'autres matriaux tels que des mtaux voire des semi-conducteurs. Plusieurs techniques de dpt sont possibles industriellement: 2) Evaporation thermique : La technique d'vaporation thermique est trs simple et consiste simplement chauffer par effet Joule un matriau qui, vaporis, va se dposer sur les substrats. La charge du matriau dposer est place dans un creuset (en tungstne). Cette technique est applicable notamment pour le dpt d'aluminium, la temprature d'vaporation de ce mtal tant infrieure la temprature de fusion du creuset (en tungstne). La figure 1 montre le principe de cette technique ; Afin d'amliorer l'homognit des couches dposes (trs faible variations d'paisseur), on dplace en permanence les substrats. Dans la cas du bti ci-dessous, le porte substrat est tournant.

Figure 1 : Bti de dpt par vaporation thermique. Le creuset contenant la charge du matriau dposer est chauff par effet Joule.

32

Afin de contrler l'paisseur des couches dposes, on utilise une balance quartz. Le principe de celle-ci consiste dtecter la drive de la frquence d'oscillation du quartz par la modification de sa masse lors de la croissance de la couche dpose (le dpt s'effectue aussi sur le quartz). C'est donc une mesure lectrique qu'il faut bien videmment talonner. A chaque dbut d'exprience, la frquence de rfrence est redfinie. En mesurant le dcalage de frquence en fonction du temps, on peut aussi dterminer la vitesse de croissance des couches dposes. 3) Dpts par pulvrisation cathodique: La pulvrisation cathodique consiste bombarder une cible par des ions, arracher les ions de la cible et les envoyer se dposer sur la substrat. Dans le cas de la figure 2, montrant de faon simplifi le principe de cette technique, les ions argon, crs par l'excitation haute tension, arrachent de la cible les composs dposer sur la surface des substrats.

Figure 2 : Bti de dpt par pulvrisation cathodique. Les ions Argon, crs par l'excitation haute tension, arrachent de la cible les composs dposer sur la surface des substrats. 4) canon lectrons La technique du canon lectron consiste apporter suffisamment d'nergie (trs concentre) sur un matriau souvent rfractaire l'aide d'un faisceau d'lectrons focalis. Les lectrons sont crs par effet thermolectrique (chauffage d'un filament) et leur trajectoire focalise grce l'action conjugue d'une diffrence de potentiel lectrique et d'un champ magntique. Ce dernier incurve la trajectoire du faisceau pour focaliser celui-ci sur la cible. La figure 3 montre de faon simplifie le principe de cette technique. Notons, qu'afin d'amliorer l'homognit des couches dposes, il est souhaitable de dplacer en permanence les substrats. Sur la figure 3, on peut remarquer que le porte substrat est tournant. Les techniques de pulvrisation cathodique et canon lectrons, de par leur principe, permettent d'effectuer des dpts de couches isolantes mais aussi de couches mtalliques (aluminium, tungstne, titane, chrome, etc.). Elles interviendront donc principalement pour la ralisation de couches d'interconnexion dans les dispositifs intgrs.

33

Figure 3 : Bti de dpt par canon lectrons. Le filament chauff sert de source d'lectrons. Les lectrons sont dvis par le champ magntique et envoys sur la charge vaporiser. 5) Dpts chimiques en phase vapeur (CVD) : Les techniques CVD (Chemical Vapor deposition) permettent quant elles de faire crotre des couches d'isolants ou de Silicium polycristallin. Elles s'effectuent en gnral dans un four dans lequel on introduit les espces ractantes. Suivant les valeurs de la pression de dpt, on modifie la qualit des couches (proprits structurales et lectriques). Les techniques basse pression (Low Pressure) (L.P.C.V.D.) permettent de dposer du silicium polycristallin, dont l'utilisation dans les procds technologiques a permis une nette amlioration de l'intgration (autoalignement des grilles de transistor MOS ou des metteurs des transistors bipolaires, interconnexions, sources de dopant, etc..). Dans le cas de couches isolantes, pour amliorer l'efficacit de la raction chimique dans le four, on peut activer les molcules mises en jeu par une source radiofrquence dont la frquence est industriellement fixe 13,56 MHz, valeur autorise par l'Administration des Tlcommunications. On intitule cette technique P.E.C.V.D (Plasma enhanced CVD). 6) Dpts chimiques en phase vapeur basse pression (LPCVD) : Comme voque ci-dessus, la technique LPCVD consiste raliser un dpt chimique en phase vapeur basse pression. Ce dpt s'effectue normalement dans un four mur chaud des tempratures de l'ordre de 500 600 On injecte les gaz qui C. ragissent et qui synthtisent le matriau dposer. Dans l'exemple de la figure 4, le dpt ralis est du silicium polycristallin (ou polysilicium) dop au phosphore. Lorsque le matriau est dop au cours de son laboration, on dit qu'il est dop in-situ. Pour ce type de dpts les paramtres les plus importants sont la pression, la temprature et le type de gaz dopant utilis. De ces paramtres, dpend la morphologie du matriau qui conditionne aussi les proprits lectriques. Les rsultats rcents sur ces techniques ont montr qu'il tait prfrable de dposer le matriau silicium sous forme amorphe (temprature de dpt autour de 550 et de crista lliser ensuite la couche ainsi forme C) par un recuit thermique, soit conventionnel, soit rapide, notamment l'aide d'un laser.

34

Figure 4 : Racteur LPCVD pour dposer du polysilicium dop au phosphore [14] et [15]. 7) Dpt chimique en phase vapeur assists plasma (PECVD) : Le dpt PECVD est donc fond sur la cration d'espces ou d'lments dposer basse temprature grce l'apport d'nergie sous forme lectromagntique (source radiofrquence en gnral). Cette technique vite donc des passages haute temprature qui peut entraner une redistribution des dopants par exemple. Toutefois, afin d'amliorer la qualit du matriau des couches dposes, il est ncessaire de chauffer "lgrement" les substrats (quelques centaines de degrs ventuellement). Industriellement, deux types de four sont proposs, leurs schmas de principe tant reprsents sur les figures 5 et 6: - four platine porte-substrat horizontale, - four "mur chaud".

Figure 5 : Racteur plasma platine porteSubstrats horizontale [2].

Figure 6 : Schma d'un racteur plasma mur chaud. C'est en ralit un four comportant 3 zones de chauffe dans lequel on ralise un plasma l'aide de la source radiofrquence [2]. 35

Le deuxime type de racteur est prfr industriellement car il vite d'ventuels "redpts", sur les plaquettes, de particules initialement dposes sur les parois comme dans le cas du premier racteur. De plus en prenant une structure interdigite pour les lectrodes, on peut traiter simultanment une cinquantaine de substrats (figure 7). A l'aide de ces racteurs, de faon classique, les couches isolantes ralises sont: - de l'oxyde de silicium, SiO2, - du nitrure de silicium, Si3N4. Le SiO2 ainsi obtenu n'est pas lectriquement acceptable pour raliser des grilles de transistors MOS, mais par contre peut servir de couches de masquage avant implantation ionique ou de couches isolantes entre diffrents niveaux conducteurs (aluminium, polysilicium, siliciure de tungstne, etc..).

Figure 7 : vue de dessus schmatique de la structure interdigite des lectrodes dans le racteur plasma mur chaud substrats verticaux. Par cette mthode un grand nombre de substrats peuvent tre traits simultanment. Le Si3N4 sert essentiellement de couche de masquage d'oxydation. En effet, le nitrure constitue une barrire de diffusion pour les lments oxydants tels que l'oxygne. L'utilisation d'une telle couche permet d'effectuer, aprs photolithogravure, des oxydations slectives sur le substrat LOCOS (Local oxidation of silicon). Nous verrons que cela permet en particulier de raliser des "oxydes de champ" qui constituent des barrires isolantes entre les diffrents transistors du circuit intgr.

Figure 8 : Ralisation d'un oxyde localis (LOCOS). La couche de Si3N4 sert de barrire de diffusion l'lment oxydant.

36

VI- Epitaxie
L'pitaxie est une tape technologique consistant faire crotre du cristal sur du cristal. Etymologiquement, "pi" signifie "sur" et "taxis", "arrangement". La technique va donc consister utiliser le substrat comme modle cristallin de croissance (germe) et faire crotre la couche par un apport d'lments constituant la nouvelle couche. La couche pitaxie peut tre dope ou non dope. On parlera, dans le cas o : - les matriaux sont identiques, d'homopitaxie ; par exemple, pitaxie d'une couche n- sur une couche n+, implique dans la jonction collecteur-base d'un transistor bipolaire permettant une meilleure tenue en tension de cette jonction polarise en inverse. - les matriaux sont diffrents, d'htropitaxie ; par exemple croissance d'une couche de GaxAl1-xAs sur une couche de GaAs ; cet assemblage permet la fabrication de super-rseaux ou de couches forte mobilit (transistors rapides). Dans le cas de l'htropitaxie, le dsaccord de rseau (mismatch en anglais), c'est--dire la diffrence entre les paramtres de maille du substrat et ceux du cristal dpos, peut entraner des dfauts dans la structure de ce dernier. Dans un premier temps, les atomes dposs s'adaptent la structure cristalline du substrat. Mais lorsque l'paisseur du cristal augmente, les proprits chimiques de ses lments l'emportent sur le substrat : les atomes choisissent la structure du matriau pur, ce qui dforme le cristal et entrane la cration de dislocations. Il existe plusieurs techniques d'pitaxie : pitaxie en phase solide

pitaxie en phase solide (SPE) est une transition entre les phases amorphe et cristalline d'un matriau. Gnralement on procde d'abord un dpt d'une couche de matriau amorphe sur un substrat cristallin. Le substrat est ensuite chauff pour cristalliser le film. Le substrat monocristallin sert de germe pour la croissance des cristaux. L'tape de recuit utilis aussi pour recristalliser (ou gurir) des couches de silicium amorphe lors de l'implantation ionique, est galement considr comme un type dpitaxie en phase solide. La sgrgation des impurets et leur redistribution l'interface cristal/amorphe est de plus en plus utilise au cours de ce processus pour incorporer les dopants faible solubilit dans le Silicium. Epitaxie en phase liquide

L'pitaxie en phase liquide utilise le principe de la mthode de Czochralski. Le substrat est mis en contact avec une phase liquide sursature en l'lment voulu, qui se prcipite et cristallise sur le substrat. Cette technique a l'avantage d'tre rapide, mais elle est moins prcise que les pitaxies en phase vapeur. pitaxie en phase liquide (LPE) est une mthode permettant la croissance de couches minces monocristallines sur des substrats solides monocristallins. Cela se produit des tempratures juste en dessous du point de fusion du semi-conducteur. Dans ces conditions, la dposition du cristal semi-conducteur sur le substrat est lente et uniforme. La vitesse de dpt typique 37

des films monocristallins est de 0,1 1 m/minute. Le dopage peut tre obtenue par l'ajout dimpurets adquates. La mthode est principalement utilise pour la croissance des semi-conducteurs composs. Des couches trs minces uniformes de qualit leve peuvent tre produites. Un exemple typique de la mthode d'pitaxie en phase liquide est la croissance de composs III-V ternaires et quartenaires sur substrats darsniure de gallium (GaAs). Un autre substrat frquemment utilis est le phosphure d'indium (InP). Pour viter les contraintes dans la couche dpose, les coefficients de dilatation thermique du substrat et la couche pitaxie doivent tre similaires. pitaxie par jet molculaire

La croissance s'effectue sous ultra vide (typiquement 10-8Pa). Les lments dposer, contenus dans des creusets haute temprature, sont vapors et vont se dposer par transport thermique sur la surface du substrat, plus froide mais de temprature quand mme assez leve pour permettre le dplacement et le rarrangement des atomes. Cette technique est largement utilise pour la croissance de cristaux semi-conducteurs III-V. Le processus d'pitaxie en phase vapeur est trs lent et trs coteux.

38

VII- La gravure

1) Prsentation Deux techniques sont couramment utilises: - la gravure par voie humide, - la gravure sche. Ces deux types de gravure interviennent de nombreuses fois au cours des procds modernes. Elles permettent de graver de faon slective, des couches ou des films afin de crer des motifs (zone actives de dispositifs, grille de transistors, pistes d'interconnexion, etc.). Nous verrons dans les oprations de photolithogravure, qu'en utilisant une rsine photosensible on peut protger des zones. La gravure ne doit donc concerner que les zones non protges. 2) La gravure humide : La gravure par voie humide se fait par attaque chimique en solution aqueuse (bain contenant de l'eau). Par exemple, l'oxyde de silicium est grav par une solution partiellement dilue d'acide fluorhydrique (HF) tamponne par du fluorure d'ammonium (NH4F). Suivant les concentrations de l'espce ractante, on talonne les vitesses de gravure pour un type de couche. En gnral, par voie humide, la couche est attaque de faon quivalente suivant toutes les directions de l'espace. On dit que la gravure est isotropique. Dans de trs nombreuses filires technologiques, la gravure humide est utilise majoritairement car elle est relativement simple mettre en oeuvre et que dans des grands bacs, un lot complet pouvant contenir jusqu' 200 plaquettes peut tre trait en une seule opration.

Figure 1 : Gravure de plaquettes par voie humide. 39

Cela constitue un gain de temps considrable. Par contre, il faut aprs traitement chimique rincer abondamment et scher les plaquettes. Durant le rinage l'eau dsionise, la rsistivit de l'eau est contrle afin de dterminer la quantit d'ions contaminants encore dsorbs par les plaquettes. Lorsque la rsistivit devient suprieure 16 M cm, on s'approche de la rsistivit de l'eau ultra pure (18 M cm) et on peut arrter le rinage. Le schage s'effectue dans de trs grandes centrifugeuses ou par soufflette d'azote ou d'air sec. La gravure humide prsente aussi d'autres inconvnients, savoir : - la gravure est isotropique (toutes les directions de l'espace) ce qui cre des attaques latrales notamment dans les zones protges par la rsine, - la vitesse de gravure dpend de la concentration et du type d'impuret que contient le film graver. Cette vitesse de gravure dpend de la quantit des substrats traits, l'efficacit d'attaque diminuant aprs plusieurs lots, - le point de fin de gravure est difficilement contrl. Cela peut entraner une surgravure latrale ou verticale dans le cas d'une faible slectivit. Les solutions les plus couramment utilises en fonction de la nature des couches graver sont les suivantes : - silicium polycristallin: HNO3 + HF - silicium monocristallin: Hydrazine N2H4 (65%) + H2O (35%) - dioxyde de silicium : HF + NH4F + H2O - nitrure de silicium : H3PO4 - aluminium : H3PO4 + HNO3 + acide actique + H20 En fonction des concentrations relatives des diffrents lments les solutions auront une vitesse d'attaque plus ou moins importante. Dans le cas de la gravure d'un oxyde recouvrant du silicium monocristallin, la fin de gravure est dtecte grce au phnomne d'hydrophobie ; le liquide a tendance quitter la surface de la plaquette alors qu'en prsence d'oxyde toute la surface est mouille. Ce critre permet aussi de vrifier l'homognit d'paisseur des couches et/ou d'attaque. III) La gravure sche : La gravure sche est en ralit une technique de gravure plasma dans laquelle interviennent la fois les effets de bombardement par des ions et la raction chimique. On la dnomme R.I.E. (Reactive Ion Etching). Le racteur ressemble au racteur de dpt platine porte-substrats horizontale, mais les gaz injects sont dans ce cas destins graver la couche de surface (fig 2). De la mme faon, on utilise un gnrateur radiofrquence qui va permettre de gnrer dans le racteur les espces ractives.

40

Sans polarisation particulire des lectrodes, l'attaque est en gnral isotropique, c'est--dire identique suivant toute les directions. Cependant, lorsque les matriaux graver ont des orientations prfrentielles, c'est le cas des cristaux semiconducteurs, la gravure peut se faire prfrentiellement suivant des plans rticulaires ou axes cristallographiques. Le racteur est en gnral quip d'un systme de contrle de gravure ou plus exactement de fin de gravure. Il s'agit d'un interfromtre laser dont la priode du signal dtect change lors d'un changement d'espces graves.

Figure 2 : Racteur de gravure plasma platine porte-substrats horizontale [5].

Figure 3 : Schma de principe de la raction de gravure plasma. Pour que la gravure soit efficace, il faut liminer les produits de raction. Le principe du procd, reprsent figure 3, est rsum comme suit : - gnration dans le plasma des espces pouvant attaquer chimiquement la couche, - transfert des espces ractives depuis le plasma vers la surface de la couche graver, - adsorption de l'espce attaquante la surface,

41

- raction avec le matriau de surface. Le matriau produit par la raction doit tre volatile pour pouvoir quitter la surface, - dsorption du produit de raction, - diffusion dans l'environnement gazeux. Si toutes ces conditions sont remplies, on peut alors esprer avoir une bonne gravure plasma. Notons que pour la mise au point de cette tape technologique, la difficult est de gnrer des espces volatiles aprs raction en surface.

Figure 4 : Vitesse de gravure et slectivit pour une gravure plasma utilisant du ttrafluorure de carbone dilu dans de l'hydrogne [12]. Suivant les espces introduites dans le racteur et suivant la nature de la couche graver la vitesse d'attaque sera diffrente. Il faudra jouer sur la diffrence des vitesses pour s'assurer d'un bon contrle de la gravure. La prcision notamment sur la fin de gravure sera d'autant meilleure que la slectivit sera importante. En effet, il faut pouvoir graver, par exemple, un oxyde sans pour autant liminer la couche sous-jacente qui peut tre, par exemple, la source d'un transistor. On peut aussi liminer la couche de silicium polycristallin sur un oxyde. En rglant la proportion des gaz ractifs, on ajuste la slectivit de la gravure du SiO2 par rapport au polysilicium. Un rglage astucieux entre l'nergie des ions incidents issus du plasma et le flux des ions chimiquement actifs permet d'obtenir des gravures soit isotropiques soit anisotropiques (figure 5). En effet, en acclrant les ions par une polarisation continue entre les deux lectrodes, on peut acclrer les ions ractifs en leur donnant une orientation prfrentielle en direction de la surface. La gravure est alors anisotropique. La figure5 montre l'anisotropie obtenue en ajoutant un bombardement d'ions qui augmente la vitesse de gravure verticale. On joue ainsi sur la comptition entre le bombardement ionique et l'attaque chimique.

42

Figure 5 : Vitesse de gravure verticale et horizontale. Ce type de gravure est particulirement intressant dans le cas o l'on veut raliser des espaceurs de trs faible dimension dans les technologies autoalignes. Ce qui peut reprsenter, a priori, un inconvnient, est exploit astucieusement, pour aller au-del des limites optiques en prcision des motifs (figure 6). En effet, du fait que l'on contrle parfaitement bien la croissance et donc l'paisseur des couches, on peut crer des rsidus ou espaceurs de largeur trs faible, en rapport avec les paisseurs. On peut ainsi crer des nouveaux "masques d'implantation" dont l'ouverture est par exemple de 0,2 micron plus large ou plus troit que le prexistant. Cela sera notamment utilis pour fabriquer des transistors submicroniques drain doublement dop (deux zones de dopage diffrents).

Figure 6 : ralisation d'espaceurs par utilisation d'une gravure anisotropique [5] Les principaux gaz utiliss dans les gravures sches sont indiqus dans le tableau suivant :
Matriau graver Silicium SF6 gaz CF4 + O2 HF CFCl3 SiO2 CHF3 CF4 + O2 CF4 + H2 SiCl4 Siliciure CFCl3 CF2Cl2 CCl4 SF6

43

En pratique, la diffrence entre gravure isotropique et anisotropique peut tre reprsente sur la figure 7. Il faudra tenir compte de la gravure latrale plus importante dans le cas de la gravure humide. Il est clair que pour les motifs de trs petite dimension, il sera prfr une gravure anisotropique.

Figure 7 : Diffrence entre gravure isotropique et anisotropique. La largeur du motif grav sera diffrente. 4) Comparaison des proprits des gravures humide et plasma : Dans le tableau suivant les deux types d'tapes sont compares ; il met en vidence les points forts et les points faibles relatifs.
Gravure humide Slectivit Cot de la gravure Dure Technologie submicronique Anisotropie Dfauts spcifiques Cot environnement Consommation de produits Contrle leve Faible Importante Difficile Non Effet galva lev leve Difficile Faible lev Faible Aise Oui Dommages par rayonnement Faible Faible Assez bon Gravure sche

44

VIII- Photolithogravure
1) Dfinition Toutes les techniques de procds technologiques exposes prcdemment prsentent peu d'intrt si elles ne se ralisent que pleine plaque. Il faut pouvoir oxyder, doper, mtalliser localement suivant des motifs trs bien dfinis et sur des surfaces de plus en plus faibles afin de crer et d'interconnecter des dispositifs lmentaires entre eux. Le procd de transfert d'un masque (physique ou logiciel) vers la plaquette s'appelle photolithogravure. Ce mot est construit partir de lithos (pierre en grec) et, de photographie. Il s'agit d'un procd photographique qui permet la gravure d'une (ou plusieurs) couche(s) solide(s) telle que nitrure, oxyde, mtal, etc..., suivant un motif bien dfini. Nous verrons que la lumire utilise est en gnral hors du domaine visible, savoir en ultraviolet voire en X. II) Principe de la photolithographie Les figures 1 et 2 rappellent le principe du procd de photolithographie ; l'objectif est de transfrer un motif (par exemple un rectangle qui correspondra une zone de source) ralis sur masque vers une couche de la plaquette.

Figure 1: Masque de photolithographie. L'utilisation du quartz est lie la nature des photons qui sont en gnral dans le domaine ultraviolet. Le dioxyde de chrome est opaque ce rayonnement et permet une bonne dfinition des motifs lmentaires.

45

Figure 2 : principe de la photolithographie : par insolation, on transfert vers la plaquette le motif dfini sur le masque. 3) Ralisation d'un motif sur plaquette Dans l'exemple qui suit, nous allons voir comment procder pour raliser une zone dope de type p par implantation ionique dans un substrat de type n. Les diffrentes tapes lmentaires sont dtailles. De faon analogue la technique photographique, on peut utiliser deux types de rsine photosensible : - rsine positive, - rsine ngative. Dans le cas d'une rsine positive, on retrouve aprs l'opration, exactement le mme motif sur la couche grave de la plaquette, que sur le masque de dpart. Par exemple, un motif de mtal sur le masque (correspondant un motif opaque), correspond le mme motif d'oxyde restant sur la plaquette aprs gravure. Dans le cas d'une rsine ngative, on obtient sur la plaquette le motif complmentaire. La rsine est tale par une technique de centrifugation. On utilise pour cela une tournette (figure 3) qui aspire la plaquette afin qu'elle ne soit pas jecte et qui permet, grce un rglage de la vitesse de rotation et de l'acclration, d'taler uniformment la rsine.

Figure 3 : Tournette pour talement de rsine photosensible. La vitesse de rotation est calcule pour obtenir une couche fine sur toute la surface et d'paisseur constante.

46

La figure 4 montre les paisseurs obtenues pour deux types de rsine diffrents en fonction de la vitesse de rotation (exprime en tours par minute). Plus la vitesse de rotation est importante, plus l'paisseur du film est faible. Il faut cependant tenir compte de l'acclration pour avoir une bonne homognit, les solvants de la rsine s'vaporant au fur et mesure de l'talement, le coefficient de viscosit variant donc simultanment. Cela sous-entend un talonnage prcis qui est en fait une opration longue et dlicate. On utilise en gnral les indications fournies par le fournisseur de rsine mais l'environnement, pression partielle des gaz volatiles par exemple, joue un rle important.

Figure 4 : paisseur obtenue de rsine photosensible en fonction de la vitesse de rotation pour deux rsines diffrentes La figure 5 dtaille les diffrentes oprations de photolithogravure. Dans le cas prsent, l'oxyde est le ngatif du motif de dioxyde de chrome du masque. La rsine est dite dans ce cas ngative.

Figure 5 : principe de la photolithogravure. Le motif du masque a t transfr vers la plaquette. La rsine est ngative dans ce cas Aprs une oxydation et diffrents nettoyages, on tale la rsine photosensible sur la plaquette l'aide de la tournette. La rsine est ensuite sche (on limine les produits les plus volatiles) puis insole travers le masque. Les motifs sont alors rvls par un rvlateur. Aprs rinage, une cuisson durcit la rsine qui peut alors rsister aux attaquants chimiques tels que l'acide fluorhydrique. La gravure humide par 47

le HF attaque la couche d'oxyde non protge par la rsine. La rsine est ensuite retire de la surface par un solvant chimique puissant tel que l'actone. Dans certains cas, il faudra utiliser des techniques encore plus efficaces telles que la gravure par plasma d'oxygne; cette mthode est rgulirement utilise dans les technologies submicroniques pour lesquelles la rsine est aussi utilise comme couche de masquage d'implantation ionique. 4) L'insolation et ses limites : L'insolation de la plaquette peut se raliser de diffrentes manires, - par contact, - par proximit, - par projection, contact proximit projection

Figure 6 : diffrentes techniques d'insolation : par contact, par proximit et projection. Par projection, il est possible de jouer sur le grandissement optique pour raliser des motifs sur le masque de dimension 5 fois suprieure celle des motifs projets sur la plaquette [5].

Figure 7 : intensit de la lumire au niveau de la rsine photosensible aprs insolation par contact, par proximit ou par projection [13]. 48

Chacune d'entre elles prsente ses avantages et ses inconvnients. Par exemple, la technique par contact donne thoriquement la meilleure dfinition des motifs, mais dtriore le masque aprs chaque opration de masquage en raison des frottements importants l'chelle microscopique. La projection donne la moins bonne dfinition optique, comme le montre la figure 7, en raison des effets de diffraction de la lumire, mais permet par contre d'effectuer une rduction ; dans ce dernier cas, la fabrication du masque est plus simple puisqu'elle n'exige pas une dfinition au moins gale celle du motif report sur la plaquette. Apparemment, la projection constitue le cas le plus dfavorable. Mais en exploitant le fait que la rponse de la rsine la lumire n'est pas linaire (figure 8), on peut amliorer la dfinition des motifs en jouant sur la quantit de photons reus (intensit lumineuse, temps d'insolation). En ajustant la dose d'insolation pour tre au niveau 0,5 Io, on rcupre la mme dimension de motif.

Figure 8 : Effet de la non linarit de polymrisation. En choisissant le seuil astucieusement, on peut amliorer la dfinition des motifs.

La figure 9 donne un exemple de machine insoler par contact. Le principe pour les insolateurs par proximit est le mme.

Figure 9 : machine insoler par contact. Une fois le masque et la plaquette aligns, on dplace la source lumineuse sur l'ensemble. L'insolation dure en gnral quelques diximes de secondes quelques secondes.

49

Dans le cas des insolateurs par projection avec photorptition, la projection se fait par secteur, c'est--dire, puce par puce ou bloc par bloc de plusieurs puces. Dans ce cas, il faut pouvoir parfaitement positionner la plaquette. Un systme d'analyse d'image et de positionnement du porte substrat, par interfromtrie laser, permet d'effectuer le bon alignement des motifs. Une plaquette de 200 mm de diamtre ncessite plusieurs minutes d'insolation (donc par niveau d'insolation) par la technique de photorptition. Les procds photolithographiques classiques utilisant des rayons lumineux dans les limites du domaine visible (proche Ultra Violet) ne permettent pas d'atteindre une dfinition suffisante pour les nouvelles technologies en dveloppement, ceci tant essentiellement d au phnomne physique de diffraction. Aussi l'volution des dimensions entrane la mise au point de nouveaux procds tels que la lithographie par rayons X, la lithographie par faisceaux d'lectrons. La lithographie par faisceau d'lectrons est un procd trs intressant mais dont il faut connatre les limites. La longueur d'onde associe aux lectrons peut tre suffisamment faible puisqu'elle est simplement lie l'nergie d'acclration. La tche de diffraction associe peut donc tre de quelques dizaines d'Angstrm. Cependant, il faut tenir compte du fait que toute la surface doit tre balaye en pilotant le faisceau. Un faisceau de taille minimale de 0,05 m balayant une plaquette de 100 cm2 une frquence de commande d'extinction du faisceau de 100 MHz, aura parcouru l'ensemble de la plaquette au bout du temps suivant : tb = 10- 8 x 100 x (2 x 105) x (2 x 105) = 4 x 104s = 11 heures Ce temps est beaucoup trop long, puisqu'il correspond l'opration d'criture d'une seule plaque. Un faisceau de 0,1 m donne un temps de 3 heures qui devient "raisonnable. En effet, ce temps est acceptable si on bnficie du fait que le masque est informatique. On peut ainsi raliser, sur la mme plaquette, des circuits intgrs de nature diffrente (clients diffrents) alors que de faon classique c'est le mme circuit qui est ralis sur un masque physique aprs photorptition des motifs correspondant une puce. Les industriels disent dans ces conditions qu'ils ralisent des circuits multiprojets (CMP). Cette technique est donc bien adapte des petites sries. Il faut cependant tre conscient qu'un tel systme, reprsent figure 10, est extrmement coteux puisqu'il faut viter toute vibration d'amplitude suprieure un dixime de microns. Il faut donc un dispositif antisismique rgul en temprature et trs protg de toute poussire.

Figure 10 : reprsentation schmatique d'une machine criture directe sur tranche utilisant un faisceau d'lectrons. Dans ce cas, le masque est informatique [5]. 50

IX- Procds de fabrication


1) Prsentation : Aprs avoir tudier l'ensemble des tapes technologiques de fabrication lmentaires, ce chapitre est consacr l'tude de quelques procds complets typiques tels que la ralisation de : - diodes, - transistors bipolaires, - transistors MOS. 2) Ncessit de propret : salle blanche : Il faut avant tout se rappeler que le principal souci en cours de fabrication sera la propret. Une seule poussire de dimension micronique se dposant sur une plaquette peut rendre inutilisable une puce de plusieurs millions de transistors. Ainsi, toute fabrication s'effectuera dans une salle blanche, salle dont l'atmosphre est contrle en temprature et humidit et dont le nombre de particules de poussires doit tre le plus faible possible. On dfinit la "classe de propret" par le nombre de particules de diamtre suprieur O,5 m par pieds cube ; 1 pieds = 1 ft = 30 cm, c'est--dire que 1 ft3 = 0,027 m3 = 27 litres. La notion de salle blanche commence lorsque la classe est infrieure ou gale 1000. Dans une atmosphre ambiante normale, la classe serait de 1 10 millions. Une bonne salle blanche industrielle est actuellement de classe 1 voire 0,1. Ceci ncessite des quipements nombreux et coteux. En effet, l'atmosphre d'une salle blanche de production doit tre entirement renouvele toutes les 7 secondes en vitant toute turbulence dans les zones de travail. Plusieurs millions de mtres cube d'air sont ainsi souffls et filtrs par heure dans une usine de production. Il faut viter toute introduction de poussire, en particulier par les oprateurs et personnels. C'est la raison pour laquelle, toute personne entrant en salle blanche doit tre entirement quipe de vtements spciaux (qui ne "peluchent" pas), de gants, cagoules, surchausses ou chaussures spciales, etc. Une "douche d'air", pouvant durer plusieurs minutes, prise dans le sas d'entre est ncessaire. Il est donc clair que tous les quipements permettant d'effectuer le travail des plaquettes seront installs en salle blanche ou dans des enceintes atmosphre rigoureusement contrle. 3) Nettoyage des substrats et plaquettes : L'opration de nettoyage est indispensable avant chaque tape technologique principale et correspond elle aussi une longue suite d'oprations lmentaires. En effet, si une plaquette ou un substrat a attendu entre deux tapes majeures, il faut procder un dgraissage et un dcapage de la surface en liminant l'ensemble des impurets ainsi que l'oxyde natif du silicium qui se forme automatiquement s'il n'est pas recouvert d'une couche protectrice. 51

- 3 min dans un bain de trichlorthylne chaud (dgraissage), - 3 min dans un bain d'actone (pour enlever le trichlorthylne), - 3 min dans de l'alcool thylique (pour enlever l'actone), - 5 min dans de l'eau dsionise, - 10 min dans la solution basique SC1 (3 NH4OH + 7H2O + 3H2O2) bullition (limine les impurets organiques en rgnrant l'oxyde natif), - 5 min dans de l'eau dsionise, - 10 min dans la solution acide (HCl + 6 H2O + H2O2) bullition (limine les impurets mtalliques en rgnrant l'oxyde natif), - 5 min dans de l'eau dsionise, - 1 min dans de l'acide fluorhydrique (limination de l'oxyde natif) - 5 min dans de l'eau dsionise. Cet ensemble d'oprations est renouvel autant que ncessaire au cours du procd complet de fabrication. 4) Ralisation d'une diode : Nous allons donner les principales tapes permettant de fabriquer une diode jonction pn : - nettoyage du substrat, - oxydation paisse humide pour fabriquer un masque de diffusion, - photolithographie 1, d'ouverture de l'anode, - implantation de Bore, - diffusion-recuit d'implantation, - photolithographie 2, d'ouverture de contacts, - dpt d'Aluminium, - photolithographie 3, des contacts, - recuit forming-gas (10%H2 dans N2), - dpt de verre de passivation (verre de Bore),

52

Figure 1: Ralisation d'une diode

Dans cette numration, l'opration de photolithographie d'ouverture de l'anode correspond l'ensemble des oprations prsentes dans la partie prcdente. 5) Procd de fabrication d'un transistor bipolaire : La ralisation d'un transistor bipolaire ncessite un plus grand nombre d'tapes que pour une diode en raison de la prsence des trois zones d'metteur, de base et de collecteur, mais surtout en raison des proprits lectriques que l'on souhaite avoir au niveau de la structure finie. En effet, il faut tenir compte de contraintes dont notamment: - La rsistance de collecteur doit tre la plus faible possible, il faut donc une zone de collecteur trs dope et un accs au collecteur enterr de faible rsistance, - La tenue en tension de la jonction collecteur-base doit tre suffisamment grande, il faut donc une zone de base faiblement dope qui s'tende suffisamment, - La rsistance de base doit tre faible, afin de ne pas limiter la rponse en frquence 53

- Les transistors doivent tre isols lectriquement entre eux ; un oxyde de silicium localis (LOCOS) spare alors les structures, - L'metteur doit tre trs dop, - Le dopage de la base intrinsque parfaitement contrl ; avec le dopage d'metteur, ils dterminent le gain du transistor. Le procd de fabrication va tenir compte de ces contraintes ; c'est ce qui explique la prsence d'un collecteur enterr trs dop, d'une zone de base pitaxie de dopage plus faible que la base intrinsque, etc. Les principales tapes de fabrication (figure 2) sont les suivantes : - Nettoyage du substrat - Ralisation de la couche N+ de collecteur enterr comprenant l'oxydation, la photolithographie d'oxyde, l'implantation de dopant de type N, le recuit d'implantation, la gravure de l'oxyde, - pitaxie de la zone de base faiblement dope, - La ralisation des LOCOS (utilisation d'une couche de nitrure comme barrire de diffusion), - La ralisation de la base intrinsque par implantation ionique (oxydation, photolithographie, implantation de Bore, gravure de l'oxyde, recuit d'implantation), - La ralisation du puits d'accs au collecteur et de l'metteur par implantations ioniques, - l'oxydation d'isolation, - L'ouverture des contacts par photolithographie, - La mtallisation Aluminium, - La photolithographie d'Aluminium. Dans cette numration, toutes les tapes ne sont pas dtailles. En les numrant de faon exhaustive, pour ce procd considr simple et ancien, le nombre d'tapes lmentaires avoisine les 200. Des procds plus rcents atteignent facilement 400 500 tapes. C'est ce qui explique, notamment que le temps de fabrication est de l'ordre de 2 3 mois en fonction du degr de complexit.

54

Figure2 : Ralisation d'un transistor bipolaire.

55

6) Procd de fabrication de transistors MOS Avant de dtailler les procds de fabrication des transistors MOS, il peut tre utile de rappeler qu'il existe quatre types principaux de transistors dont les reprsentation schmatiques et les caractristiques lectriques directe et de transfert sont regroupes ci-dessous.. Il s'agit des transistors NMOS enrichissement ou appauvrissement, qui sont aussi respectivement normalement non conducteurs ou normalement conducteurs (normally-off ou normally-on), et les transistors PMOS enrichissement ou a appauvrissement.

Figure 3 : Reprsentation schmatique d'un transistor NMOS enrichissement ou normalement non conducteur. La tension de seuil est positive.

Figure 4 : Reprsentation schmatique d'un transistor NMOS appauvrissement ou normalement conducteur. La tension de seuil est ngative.

56

Figure 5 : Reprsentation schmatique d'un transistor PMOS enrichissement ou normalement non conducteur. La tension de seuil est ngative.

Figure 6 : Reprsentation schmatique d'un transistor PMOS appauvrissement ou normalement conducteur. La tension de seuil est positive.

7) Procd de fabrication d'un transistor MOS canal N Il s'agit dans ce cas de raliser des transistors MOS dans un mme substrat. Nous allons dans un premier temps regarder un procd simplifi de ralisation de transistors MOS canal N enrichissement. Cela signifie qu'il faut raliser dans un substrat de type p qui constituera la zone de canal, les deux zones trs dopes de type n qui constitueront les source et drain. L'oxyde de grille sur la zone de canal devra tre de trs bonne qualit lectronique. La fin du procd consistera raliser les zones de contacts de grille, de source et de drain. Nous verrons dans un deuxime temps un procd plus labor et donc plus complexe de ralisation de transistors NMOS et PMOS en technologie CMOS.

57

La succession des tapes principales de ralisation est la suivante : - nettoyage du substrat, - oxydation paisse de masquage de dopage des source et drain, - photolithogravure d'ouverture des source et drain, - dopage au phosphore (diffusion ou implantation ionique), - photolithogravure d'ouverture de la zone de canal, - oxydation fine de l'oxyde de grille, - ajustement de la tension de seuil par implantation ionique de Bore, - photolithographie d'ouverture des contacts de source et de drain, 58

- dpt d'aluminium, - photolithogravure de l'aluminium, - recuit final sous forming-gas (mlange d'azote et d'hydrogne 10%) pour amliorer les contacts. Ce procd trs simple permet de raliser des transistors MOS ; il correspond aux premiers procds MOS mis en oeuvre industriellement au dbut des annes 70. Compte tenu des diffrentes tapes de masquage ncessitant des alignements, pour diminuer les dimensions des transistors il a fallu trouver des mthodes permettant de positionner automatiquement les zones de source et drain par rapport la grille. Ces technologies sont alors dites autoalignes. Le procd CMOS suivant correspond cette volution. 8) Procd de fabrication des transistors MOS canal N et P en technologie CMOS Il s'agit dans ce cas de raliser simultanment sur un mme substrat des transistors MOS canal N et des transistors MOS canal P. Pour complter les possibilits d'application, il est aussi fabriqu, au cours du mme procd et sur le mme substrat, des capacits. Il faudra ainsi tenir compte du fait, d'une part, que la zone de canal des deux types de transistors est de dopage diffrent, et d'autre part, que les transistors doivent tre lectriquement isols au niveau du substrat. Ceci ncessite la ralisation d'un "puits" ou "caisson" de dopage pour la zone de canal de l'un des deux transistors ; dans le cas prsent, le caisson est de type N et correspond donc la zone de canal du PMOS. Le dopage des zones de canal, qui ont une influence importante sur la tension de seuil des transistors MOS, sont ajusts avec prcision par implantation ionique de bore pour le PMOS et d'arsenic pour le NMOS. Les isolations sont ralises partir de LOCOS. Toutefois, afin d'viter des courts-circuits sous ces LOCOS, il faudra raliser des surdopages bloquant la cration de canaux non dsirs. Les impurets dans les oxydes thermiques humides tant essentiellement de charge positive, le blocage de ces transistors fictifs sera obtenu par un fort dopage au bore sous l'interface LOCOS/substrat. On dit que l'on ralise un "anticanal". Afin de raliser des transistors longueur de grille de trs petite dimension, le procd utilise l'autoalignement grce l'emploi de grille en silicium polycristallin. Pour ces structures de trs petite dimension, les lignes mtalliques d'interconnexion et de prise de contact prennent de plus en plus d'importance. Dans le cas de piste en aluminium, des passages de marches trop abruptes peuvent entraner la coupure de la piste (fissure dans la couche d'aluminium). Afin d'viter ces coupures, on arrondit les marches en utilisant un verre (LTO, Low Temperature Oxide) suffisamment visqueux des tempratures peu leves (500-600 pour crer un C) fluage au niveau des ouvertures de contact. Ce verre peut tre du PSG (PhosphoSilicon Glass) ou BPSG (Boro-Phospho-Silicon Glass). Ainsi, ce verre, aprs un recuit autour de 600 permet une bonne continuit des co uches d'aluminium dposes en C, surface et donc des pistes aprs photolithogravure. 59

Dans un premier temps, une liste exhaustive des 49 principales oprations technologiques permettant la fabrication de ces structures est prsente. On a reprsent en caractres gras soit les zones concernes, soit les groupes d'tapes du procd. La figure 80 a) i) qui suit, dtaille par des schmas l'ensemble de ces oprations. Substrat P <100> rsistivit : 12 Ohms.cm (8 15) Na = 1,1.1015 cm-3 (1,7 0,9 x1015 cm-3) 1.- Nettoyage. 2.- Oxyde pidestal 250 950 C 3.- Dpt de Si3N4 (LPCVD) 900 4.- Recuit nitrure 5.- Photolithogravure 1 : ZONES ACTIVES 6.- Gravure plasma (R.I.E) 7.- Photolithogravure 2 : CAISSON 8.- Implantation Phosphore , dose=3,3.1012 cm-2 , nergie=180 keV 9.- Retrait rsine 10.- Recuit caisson 1200 150 min C 11.- Photolithogravure 3 : ANTICANAL 12.- Implantation Bore, dose= 3.1013cm-2 , nergie= 45 keV 13.- Oxydation localise (LOCOS) 6500 14.- Gravure plasma O.N.O. (oxyde - nitrure - oxyde) R.I.E. 15.- Dsoxydation de l'oxyde sacrificiel. 16.- Oxydation M.O.S. (oxyde de grille) 250 17.- Implantation de Bore (pleine plaque) dose=6,5.1011 cm-2, nergie=25 keV Pour l'ajustement de la tension de seuil des PMOS et NMOS. 18.- Dpt de rsine face avant et Dsoxydation face arrire 19.- Dpt de Si-Poly (grille) LPCVD 4200 20.- Dopage du Si-Poly par Diffusion POCl3 950 44 min C 21.- Dpt de WSi2 par copulvrisation 22.- Recuit Flash (pour former le siliciure) 900 C 23.- Photolithogravure 4 : GRILLE POLY 24.- Gravure R.I.E. du "Polycide" 25.- Retrait rsine 26.- Oxydation de la grille Si-Poly 500 1000 60 min C (oxyde des capacits) 27.- Photolithogravure 5 : DRAIN - SOURCE NMOS 60

28.- Implantation d'Arsenic dose=4.1015 cm-2 , nergie=180 keV 29.- Retrait rsine 30.- Photolithogravure 6 : DRAIN - SOURCE PMOS 31.- Implantation de Bore , dose=2.1015 cm-2, nergie= 30 keV 32. Retrait rsine 33.- Doxydation (reste oxyde fin de capacit). 34.- Dpt Si-Poly 2 (LPCVD) 4300 35.- Dopage Si-Poly2: implantation de Phosphore, dose=5.1015 cm-2 , nergie=110 keV 36.- Recuit d'implantation 800 30 min N 2 C 37.- Dpt d'oxyde L.T.O. : non dop : 1000 + dop Phosphore 7 8 % : 7000 38.- Densification du L.T.O. 650 C 39.- Photolithogravure 7 : GRAVURE DES CONTACTS 40.- Gravure de l'oxyde R.I.E. 41.- Retrait rsine 42.- Recuit Flash (fluage LTO) 1170 20 sec. N 2 C 43.- Dpt d'Aluminium-Silicium 44.- Photolithogravure 8 : GRAVURE ALU 45.- Gravure de l'Aluminium R.I.E. 46.- Recuit des interconnexions Al - Si

61

Etape 1 : nettoyage R.C.A

Etape 2 : oxyde pidestal

Etape 3 : dpt nitrure + recuit

Etape 4 : rsine photosensible

Etape 5 : photolithogravure 1: zones actives

Etape 6 : retrait rsine

Etape 7 : dpt rsine

Etape 8 : photolithogravure2: caisson n phosphore

Etape 9 : implantation caisson phosphore

Etape 10 : retrait rsine + recuit caisson

Etape 11 : photolithogravure 3: anticanal

Etape 12 : implantation Bore anti-canal

62

Etape 13 : retrait rsine

Etape 14 : oxydation locos

Etape 15 : retrait nitrure

Etape 16 : dsoxydation

Etape 17 : oxydation mos

Etape 18 : dopage ajustement tension seuil NMOS

Etape 19 : dpt Si poly

Etape 20 : dpt Siliciure

Etape 21 : dpt rsine

Etape 22 : photolithogravure 4: dfinition grille

Etape 23 : retrait rsine

Etape 24 : oxydation mince

63

Etape 25 : dpt rsine

Etape 26 : photolithogravure 5.

Etape 27 : implantation Arsenic

Etape 28 : retrait rsine

Etape 29 : dpt rsine

Etape 30 : photolithogravure 6:

Etape 31 : implantation Bore

Etape 32 : retrait rsine

Etape 33 : dsoxydation et dpt poly2

Etape 34 : dpt de LTO

64

Etape 35 : photolithogravure 7 du LTO

Etape 36 : fluage de LTO

Etape 37 : dpt Aluminium

Etape 38 : dpt rsine

Etape 39 : photolithogravure 8 de Al

Etape 40 : recuit Alu + forming-gas

65

X- Amlioration des procds technologiques


1) Prsentation : La complexit des circuits intgrs augmentant avec la rduction des dimensions, il est de plus en plus ncessaire de raliser des interconnexions entre les diffrents composants du circuit. Le nombre de niveaux d'interconnexion augmente depuis plusieurs annes. Des circuits qui taient ralisables avec deux niveaux d'interconnexion dans les annes 70 (une couche poly et une couche aluminium), deviennent obsoltes. On a assist une volution dite double poly double alu dans les annes 80; les annes 90 voient se dvelopper des circuits multi-niveaux d'interconnexion, des circuits dmonstrateurs atteignant la dizaine de niveaux. En production, en 1998, les circuits comporteront 7 niveaux. Sachant que chaque niveau d'interconnexion ncessite une couche isolante grave et une couche conductrice, elle aussi grave, aprs la ralisation de plusieurs niveaux, le relief devient tellement perturb qu'il est difficile d'obtenir des lignes conductrices compltes sans dfauts (coupures ou amorces de coupures). Des techniques qui minimisent ce relief ont donc t dveloppes dont le but est essentiellement de planariser la surface sur laquelle va s'effectuer le nouveau dpt. Deux principales mthodes sont proposes, l'une consistant combler les cavits par une couche, l'autre araser les sommets des reliefs par gravure. 2) Planarisation des couches : A) Mthode "SOG": L'acronyme SOG vient de "Spin On Glass" que l'on pourrait traduire par "verre centrifug". En pratique, cette technique consiste en un dpt de dioxyde de silicium par talement par centrifugation (tournette) d'une couche visqueuse temprature ambiante contenant les lments permettant la synthse de SiO2. Lors de son talement, la solution a tendance combler les trous ou les dpressions de la surface du circuit qui peut tre trs tourmente en fonction du nombre d'tapes d'oxydation antrieures par exemple (figure 1). Aprs tuvage et vaporation des parties volatiles, la couche est essentiellement constitue de SiO2 et est recuite de manire obtenir une densification de celui-ci. Aprs cette tape, en moyenne les deux tiers du relief sont attnus. Ceci permet d'effectuer plusieurs niveaux d'interconnexion, en limitant les problmes de planit. Il peut tre not que comme pour l'talement de la rsine de masquage, les talonnages de la viscosit de la rsine, de l'acclration et de la vitesse de la tournette sont ncessaires.

Figure 1 : Technique de planarisation utilisant du SOG.

66

B) Mthode "dpt de rsine": Cette technique consiste dposer une rsine polymre par centrifugation qui a aussi tendance liminer les reliefs. Aprs schage, la surface est planarise. La suite consiste effectuer une gravure de la rsine et du relief d'oxyde, par exemple, comme reprsent sur la figure 3. Par gravure plasma, en ajustant la proportion d'oxygne par rapport au CHF3 dans le plasma, il est possible d'obtenir une vitesse de gravure quivalente pour les deux types de matriaux. Il est alors possible de planariser compltement la couche d'oxyde. Cette technique, plus dlicate mettre en oeuvre, donne en gnral de meilleurs rsultats qu'avec du SOG.

Figure 3: technique de planarisation par dpt de rsine et gravure plasma. La planarisation peut tre bonne si les vitesses de gravure de la rsine et de l'oxyde sont gales. C) Polissage mcano-chimique Cette technique est appele de faon simplifie CMP pour Chemical-MecanicalPolishing. Aprs avoir effectu le dpt d'un isolant, par une des techniques basse temprature (LTO : Low Temperature Oxide, ou nitrure de silicium dpos par PECVD par exemple), ayant une surpaisseur par rapport l'paisseur finale envisage, il est possible d'effectuer un polissage utilisant une solution chimique d'attaque ainsi qu'un abrasif grains trs fins, le dixime de micron environ. Dans ces conditions, on peut obtenir une surface parfaitement plane de la couche dpose qui aprs photolithogravure servira de surface de base du niveau d'interconnexion suprieur. A titre exprimental, il a t effectu jusqu' 25 empilements de niveaux d'interconnexion. Des procds industriels de circuits de grande complexit devraient en ncessiter une dizaine. Il reste cependant matriser le CMP dans le cas ou les matriaux graver au niveau de la surface prsentent des durets ou des vitesses de gravure diffrentes.

Figure 4 : Principe du polissage mcano-chimique pour la planarisation. 67

XI- Evolution des technologies


1) Evolution des plaquettes et des circuits depuis le dbut de la microlectronique: Nous avons vu au cours des diffrents chapitres comment seffectuait la fabrication des composants lmentaires et comment on a pu chercher rduire en permanence les dimensions des composants afin de fabriquer sur la mme puce des circuits de plus en plus complexes. Nous faisons ici une synthse qui devrait permettre de comprendre cette fantastique volution durant les dernires 30 annes. Les courbes prsentes projettent, pour certaines, aussi lvolution jusqu'en 2015 environ. En premier lieu on peut montrer lvolution de la taille des plaquettes (ou wafers) qui a plus ou moins volu de faon exponentielle depuis la fin des annes 50 comme le montre la figure 88. On prvoit en 2012 des plaquettes de 450 mm de diamtre. Les premires plaquettes de 300 mm de diamtre sont en fabrication en lan 2000. On peut considrer que depuis la fin des annes 50 la surface des plaquettes a t multiplie par 150.

Figure 1 : Evolution de la taille des plaquettes depuis 1960. On peut noter que cette volution est en chelle logarithmique ce qui signifie que la croissance est peu prs exponentielle. Paralllement cette volution des plaquettes, la diminution du nombre de dfauts par unit de surface est devenue compatible avec des circuits de grandes dimensions. On peut par exemple indiquer qu la fin annes 70, le nombre de dfauts par unit de surface sur une plaquette de 3 pouces de diamtre, coefficient habituellement appel D0, tait autour de 1/cm2. Pour aboutir un rendement acceptable, il tait alors difficile de fabriquer des circuits de surface suprieure 10 mm2. Pour simplifier, une analyse statistique montre quil faut au moins un rapport 5 pour esprer un bon rendement. Aujourdhui, ce coefficient D0, avoisine 0,05 cm-2. Ceci permet de fabriquer avec un rendement correct des circuits (ou puces) de surface de lordre de 2,5 cm2. La figure 2 met en vidence cette volution. On peut noter que la loi est aussi approximativement exponentielle. Notons que la taille nest plus vraiment celle dune puce! 68

Figure 2 : Evolution de la dimension des puces depuis 1970. Cette augmentation est fortement lie i) la qualit des substrats qui doivent avoir un nombre trs faible de dfauts par unit de surface, ii) et au contrle du procd de fabrication des circuits. A laugmentation des dimensions potentielles des circuits, a correspondu une diminution notable des dimensions des composants lmentaires. Rappelons que cela a t possible grce une succession de sauts technologiques. Parmi les plus importants, rappelons:

limplantation ionique pour le dopage avec un contrle prcis de la dose de dopants, la croissance pitaxiale pour les zones faiblement dopes ou les couches de trs faibles dimensions, loxyde localis (LOCOS) pour lisolation des composants entre eux, lautoalignement des grilles pour minimiser le nombre de masques et dopration dalignement, la diminution des longueurs donde des insolations pour la photolithographie, le positionnement automatique des photo-masqueurs, la gravure anisotropique permettant la fabrication despaceur de trs faible dimension latrale, la planarisation permettant de diminuer lamplitude de variation du relief aprs plusieurs tapes technologiques, la croissance pitaxiale slective, vitant des oprations de photolithogravure.

Toutes ces amliorations ont donc conduit une volution fantastique du nombre de transistors lmentaires par puce. Cette volution a t globalement exponentielle et correspond la loi de variation propose par Gordon et Moore [17-19]. Le nombre de composants par puce a t multipli en moyenne par 4 tous les 3 ans ce qui donne 106 en 30 ans. Un circuit type et reprsentatif de cette volution est la mmoire DRAM ou RAM dynamique (Random Access Memory) dont le point mmoire lmentaire se ramne un seul transistor MOS, la capacit de stockage correspondant la capacit parasite de la jonction drain/substrat. La figure 3 montre cette volution. Lvolution des circuits complexes tels que les microprocesseurs a suivi avec simplement un dcalage dans le temps celle des DRAM. Les processeurs les plus complexes comportent en lan 2000 environ 100 millions de transistors, lintgration tant dans ce cas plus limite par des problmes de dissipation thermique et de puissance gnre localise que par la technologie de fabrication elle-mme.

69

Figure 3 : Evolution du nombre de transistors par puce depuis 1970 : loi de G.E. Moore [17-19]). Un bon critre est danalyser lvolution des mmoires dynamiques DRAM dont le point mmoire est ralis laide dun seul transistor. Une corrlation vidente est associe la longueur du canal dessin du transistor lmentaire. Dans une reprsentation logarithmique on retrouve cette loi de Moore, savoir que la dcroissance est exponentielle en fonction du temps. Cette volution est remarquable et surprenante et on peut raisonnablement prvoir que cette loi sera encore vrifie pendant une dizaine dannes (figure 4). On envisage en effet datteindre des longueurs de canal de 0,05 m en 2012 du point de vue industriel. Dailleurs, des premiers transistors de longueur infrieure au dixime de micron ont dj t fabriqus : un prototype de 0,05 m structure verticale utilisant les technologies classiques a t prsent par les Bells Labs dbut 2000, et un transistor MOS de 15nm (0,015m) de longueur de canal fonctionnel a t ralis et prsent par Intel la fin de 2000 (IEDM2000). Si ces structures sont des dmonstrateurs de potentialits impressionnants, le chemin est cependant encore long pour en raliser des milliards simultanment sur une plaquette avec un rendement de fabrication suffisant.

Figure 4 : Evolution de la longueur du canal dans les transistors MOS. Depuis 1980 la diminution est exponentielle. Les prvisions actuelles donnent une dimension de 0,05 m en 2012.

70

Lvolution des circuits complexes, tels que les microprocesseurs, a suivi avec simplement un dcalage dans le temps celle des DRAM. Les processeurs les plus complexes comportaient en lan 2000 environ 100 millions de transistors, lintgration tant dans ce cas plus limite par des problmes de dissipation thermique et de puissance gnre localise que par la technologie de fabrication elle-mme, bien que les problmes d'interconnexion restent majeurs. Ainsi, ces amliorations ont permis une augmentation des performances extraordinaire. Dans la priode 1994-2000 annes, les circuits complexes tels que les microprocesseurs ont vu leur frquence dhorloge multiplie par 8, les tensions dalimentation divises par 4 et la longueur de canal des transistors lmentaires divise par 5. La figure 5 montre de faon vidente cette volution.

Figure 5: Evolution des dimensions et des performances des microprocesseurs durant les annes 1994-2001. Paralllement la diminution des dimensions, la surface des puces a diminu, la frquence de fonctionnement augment et la tension d'alimentation diminu [20] , (2001). Une projection de cette volution a t tablie par Intel en 2002 qui prvoit pour ses propres microprocesseurs une continuation de la loi de G.E. Moore. La figure 6 montre l'volution depuis 1970 et la prvision jusqu'en 2007 de sa famille de Pentium.

71

Figure 6 : Evolution depuis 1970 et prvision du nombre de transistors des microprocesseurs fabriqus par la Socit Intel (d'aprs [21]). 2) Evolution des salles blanches et procds dans les technologies 200mm et 300mm a) Nouvelles salles blanches Lvolution des salles blanches dans la fabrication des circuits sur substrats de 200mm et plus aboutit un agencement trs diffrent de celui de celles des 20 dernires annes. En effet, les nouveaux quipements traitent les lots plaque plaque, les lots tant manipuls et transports dans des botiers tanches sous atmosphre contrle. La manipulation automatique des plaquettes seffectue uniquement sur l'quipement de travail l'aide d'un dispositif de scurit. L'ouverture de chaque botier ne s'effectue que lorsque les conditions dtanchit sont respectes. Ainsi, les plaquettes ne sont plus jamais en contact avec latmosphre de la salle blanche et celle-ci peut alors tre d'une qualit moindre ce qui diminue trs fortement les cots d'infrastructure et de maintenance et donc le cot global de fabrication. De plus les oprateurs ont moins de contraintes et les interventions sur les quipements se font aussi en salle blanche ce qui est plus commode et plus efficace Les botes de transfert contiennent en gnral 25 plaquettes de 200mm. Elles disposent de verrous de scurit qui ne dclenchent l'ouverture de la cassette qu'en position correcte sur les sas dentre ou de sortie des quipements. Latmosphre est en gnral de lazote pure de qualit microlectronique pression atmosphrique. Le nombre dimpurets est donc trs faible et donne une quivalence de classe 0,1. Les quipements sont organiss en grappe "cluster"; les machines sont multichambre (plusieurs chambres de procds) avec sas de chargement et de transfert. Par la diminution du temps de transfert (quelques secondes) et llimination de la remise en atmosphre ambiante, cette approche limite lvolution de la surface des circuits et dispositifs ou des interfaces ; ce point est trs important pour limiter la 72

contamination au niveau des oxydes de grille ultramince par exemple. De la mme faon, les oprations de photolithogravure se font dans un systme complet de traitement plaque plaque incluant les dcapages, nettoyages, induction et cuisson de rsine, insolation, gravure, dlaquage, dpt de rsine de protection en fin d'opration, etc. b) Les insolateurs Les insolateurs par tapes ou photo-rpteur (steppers) contiennent deux types de source de lumire : raie i et raie j du laser dans le domaine ultraviolet qui donnent une dfinition diffrente. En fonction du niveau de masquage, lquipement sera donc diffrent. Les UV les plus profonds (plus faible longueur d'onde) sont utiliss pour les niveaux trs prcis (insolation de la grille autoaligne du transistor par exemple). Avec une dfinition maximale, un insolateur pour plaquettes de 200mm traite environ 40 plaques lheure. Le balayage du faisceau et le dplacement de la plaque sont combins et optimiss de manire rduire au maximum le temps total dinsolation. Une fentre ajustable de forme rectangulaire du faisceau balaye un rectangle du masque, en gnral. Nous pouvons noter que ce type d'quipement est extrmement coteux. En 2002, un insolateur dans la raie j pour plaquettes de 200mm cotait environ 12M$US. Une usine doit en possder plusieurs pour maintenir un flux de production correct (plusieurs milliers plusieurs dizaines de milliers de plaquettes traites par semaine). c) Les implanteurs Les implanteurs sont de trois natures diffrentes correspondant des zones d'nergie dimplantation diffrentes:

un implanteur de trs haute nergie, dans la gamme 3MeV peut effectuer des implantations jusqu 3 microns de profondeur. Elles permettent d'viter des oprations d'pitaxie dans les structures intgres basses tensions, notamment pour raliser des caissons de type N ou P, un implanteur de moyenne nergie dans la gamme 200keV de courant moyen, un implanteur de basse nergie dans la gamme de quelques keV de fort courant dont le flux peut atteindre 1016 ions par seconde,

Il peut tre not que certaines implantations sont effectues sous de grands angles, jusqu' 45 Cette technique permet de jouer sur le s distributions latrales de dopants. . Les supports de plaquettes dans les chambres dimplantation sont donc inclinables. d) Le polissage mcanochimique Le polissage mcano-chimique intervient de plus en plus dans les nouveaux procds. Cette tape utilise essentiellement de leau oxygne et des poudres de granularit dpendant du niveau d'attaque. Afin de limiter les effets de diffrence de vitesse d'attaque en fonction de la prsence en surface de matriaux diffrents (oxyde, nitrure, silicium, cuivre, etc..), qui induit des variations d'paisseur appeles "dishing", des dpts supplmentaires spcifiques sont effectus. Ils permettent aprs photolithogravure d'quilibrer et d'homogniser la distribution en surface de matriaux de diffrentes durets ou vitesse de gravure.

73

Figure 7 : Evolution de la surface aprs polissage mcanochimique. Suivant le nature des matriaux des creux peuvent se former sur des zones tendue d'un mme matriau. Cet effet s'appelle "dishing". e) Le contrle en ligne et la fiabilit Le contrle des plaquettes au niveau des tapes en cours de procds prend de plus en plus d'importance lintrieur d'une salle blanche avec l'objectif de mener un contrle de fiabilit en cours de fabrication sur plaquettes (WLR, Wafer Level Reliability). Les quipements rencontrs sont :

comparateur d'image (surface scan), analyseur optique spectral de couleur de la surface, analyseurs de dfauts localiss aprs reprage optique (SEM + EDX),

Cette volution correspond un besoin croissant de contrle en ligne pour assurer un rendement de production lev sur des plaquettes dont le cot est sans cesse croissant. L'ajout de contrle doit tre cependant compatible avec une productivit croissante. Toutefois, ce contrle doit tre de plus en plus court et des techniques d'analyse ou d'indicateurs rapides de fiabilit sont en dveloppement dans de nombreux centres de recherche mondiaux [22].

74

Rfrences
[1] L. Crossman et J. Baker, "Polysilicon technology", Semiconductor silicon 1977, Electrochem. Soc., Pennington, New Jersey, 1977 [2] S.M. Sze, "VLSI Technology", 2nd edition, McGraw-Hill International Editions, 1988 [3] D.V. Morgan and K. Boar, "An introduction to semiconductor technology", 2nd edition, John Wiley & sons, 1990 [4] R.B. Fair, "Concentration profiles of diffused dopants in silicon, in F.F.Y. Wang, Ed. Impurity Doping Process in Silicon, North Holland, New York, 1981 [5] S.M. Sze, "VLSI Technology", 1st edition, McGraw-Hill International Editions, 1983 [6] S.M. Sze, "Semiconductor devices", Wiley, New York, 1985 [7] S. Furukawa, H. Matsumura, and H. Ishirwara "heoretical consideration of lateral spreadof implanted ions", Jap. J. Appl. Phys., vol. 1, 1972 [8] W.K. Hofker, H.W. Werner, D.P. Ooesthoek, and N.J. Koeman, "Boron implantations in silicon: a comparison of charge carrier and boron concentration profiles" J. Appl. Physics, vol. 4, 1974. [9] B.E. Deal and A.S. Grove, "General relationship for the thermal oxidation of silicon", J. Appl. Physics, vol. 36, 1965 [10] B.E. Deal , " Thermal oxidation kinetics of silicon in pyrogenic H2O and 5% HCl/H20 mixture", J. Electrochem. Soc. vol. 125, 1978 [11] A.S. Grove, O. Leistiko, and C.T. Sah, "Redistribution of acceptor and donor impurities during thermal oxidation of silicon", J. Appl. Phys. vol.35, 1964. [12] L.M. Ephrath, " "Selective etching of silicon dioxide using reactive ion etching with CF4-H2", J. Electrochem. Soc., vol.126, 1979 [13] J.G. Skinner, "Some relative merits of contact, near-contact and projection printing", Proc. Kodak Interface'73, vol/ 53, 1973 [14] A. Liba, ""Dpt de couches de silicium polycritallin dopes in-situ au phosphore par la technique VLPCVD", Thse de l'Universit de Rennes 1, n923, 1993 [15] O. Bonnaud, " Present status and future trends of LPCVD processes involved in polysilicon thin film devices", Confrence invite, Proceedings XI SBMICRO'96, pp. IT52-63, So Paulo, Brsil, 1996, [16] O. Bonnaud, "Analyse du gain des transistors bipolaires metteur en silicium polycristallin", Thse d'Etat n84-07, Universit de Lyon I, 1984 [17] G.E. Moore, Electronics Magazine, vol. 38, 1965, p.114 [18] G.E. Moore Progress in digital circuits, IEDM Tech. Digest, p11, 1975 [19] R. Lenzner, "Gordon Moore: the reluctant Entrepreneur". Intel Corporation, Forbes Magazine, p 162, sept. 1995 [20] F. Pinchovski, "Technology Challenge in the New Millenium", XIV SBMICRO99, Campinas-SP (Brazil), Sept. 1999 [21] Consulter par exemple le site de la socit INTEL http://www.intel.com [22] Consulter par exemple le site du rseau pluridisciplinaire thmatique franais du CNRS sur la fiabilit - check the French CNRS reliability thematic network site http://www.ixl.u-bordeaux.fr/rtpfiab [23] O. Bonnaud, E. Chartier, C. Diaconescu, "Internet Interactive Microelectronics Technology Course: a tool developed in the frame of INEIT-MUCON network", Oral Presentation. 11th EAEEIE'2000 Conference, Ulm (Germany), April 2000, Conf. Proc. pp. 40-46 [24] O. Bonnaud, C. Diaconescu, Document du module d'enseignement francophone : "Microlectronique Intgre Monolithique et Conception Associe". Edition Service Culturel de l'Ambassade de France en Roumanie. (120 pages), Mai 1996 [25] E. Chartier , C. Diaconescu, O. Bonnaud, , Distance Interactive microelectronics course: a tool to make easier the understanding and the learning, Proceedings of 3rd European Workshop on Microelectronics Education, Aix-en-Provence (France), May 2000, pp119-122. [26] O. Bonnaud, P. Mnster, H. Lhermite, C. Diaconescu, "Interactive Microelectronics Technology Course available on website", Proceedings of IEEE MSE2001 Conference, Las-Vegas -NV (USA), May 2001, pp 14-15

75

Você também pode gostar