Você está na página 1de 4

UNIVERSIDAD TECNICA DEL NORTE FICA CISIC Nombre: Paola Tito Asignatura: Circuitos digitales CONSULTA

Un flip flop maestro-esclavo se construye con dos FF, uno sirve de maestro y otro de esclavo. Durante la subida del pulso de reloj se habilita el maestro y se deshabilita el esclavo. La informacin de entrada es transmitida hacia el FF maestro. Cuando el pulso baja nuevamente a cero se deshabilita el maestro lo cual evita que lo afecten las entradas externas y se habilita el esclavo. Entonces el esclavo pasa al el mismo estado del maestro. El comportamiento del flip-flop maestro-esclavo que acaba de describirse hace que los cambios de estado coincidan con la transicin del flanco negativo del pulso.

FLIP-FLOP JK MAESTRO-ESCLAVO

EL FLIP-FLOP SR MAESTRO-ESCLAVO
Los flip-flops maestro-esclavo (master-slave) han sido ampliamente utilizados hasta la aparicin de los disparados por flanco. La razn fundamental es que funcionan de forma idntica y los disparados por flanco necesitan menos puertas lgicas. La construccin de un flip-flop maestroesclavo SR se realiza a partir de dos cerrojos SR con entrada de habilitacin conectados en cascada, de forma que la seal de reloj entra al cerrojo maestro y la seal de reloj complementada entra al esclavo. Slo el cerrojo maestro est habilitado cuando el reloj es 1. Durante todo ese intervalo de tiempo, sus salidas irn acorde con sus entradas. Si se produce una variacin, la salida actuar en consecuencia. Cuando llega el flanco negativo de reloj, se habilita el cerrojo esclavo (y se deshabilita el maestro), que toma la salida del maestro (que ya no pueden variar porque se encuentra deshabilitado). Por tanto, en un tiempo igual al tiempo de propagacin del cerrojo esclavo, justamente despus del flanco negativo de reloj, la salida del cerrojo esclavo acta en consecuencia.

Montaje del flip-flop SR maestro-esclavo y smbolo lgico. S R CLK Q

0 0 0 1 1 0 1 1 Tabla de verdad del flip-flop SR maestro-esclavo

FLIP-FLOP JK MAESTRO-ESCLAVO
Construiremos un flip-flop JK a partir de un SR (esta vez en su versin maestro-esclavo), realimentando las salidas hacia la entrada tal y como se muestra en la figura, que tambin muestra el smbolo lgico asociado. Figura: Montaje del flip-flop JK maestro-esclavo y smbolo lgico.

Tabla de verdad del flip-flop JK maestro-esclavo. J K CLK Q

0 0 0 1

1 0 1 1 Existen varios tipos de FLIP-FLOPs y variaciones de estos que permiten realizar funciones especficas, dependiendo de la aplicacin. A continuacin veremos algunos de ellos.

FLIP-FLOP D
En este circuito no existe la posibilidad de que las dos entradas estn a nivel alto ya que posee un inversor entre la una y la otra de tal modo que R = ~S, aqu se supone la entrada Dato a nivel 0...

FLIP-FLOP D con entrada Dato a nivel 0 Que ocurre cuando la entrada Dato, pasa a 1 y CK cambia de estado pasando tambin a 1, segn como se van transmitiendo los datos por las compuertas resulta Q = 1 y Q = 0.

FLIP-FLOP D con entrada Dato a nivel 1 Para que el FLIP-FLOP retorne a su estado inicial, la entrada Dato D deber pasar a 0 y slo se transferir a la salida si CK es 1. Nuevamente se repite el caso que para leer el datos debe ser CK = 1. En forma general se representa el FLIP-FLOPD con el siguiente smbolo

Smbolo FLIP-FLOP D D 0 1 CLK Qi+1 0 1 Estados del FLIP-FLOP D

La forma de operacin de este FLIP-FLOP es muy sencilla: Cuando D=0 y se presenta un cambio de 0 a 1 lgico en la entrada de reloj del FLIP-FLOP la salida Q=0. Cuando D=1 y se presenta un cambio de 0 a 1 lgico en la entrada de reloj del FLIP-FLOP la salida Q=1. En otras palabras, el dato en D se transfiere y memoriza en Q cada vez que se presenta una transicin de 0 a 1 lgico en la seal de reloj (CLK); esta condicin se conoce con el nombre de transicin por flanco positivo. La condicin complementaria a la anterior es cuando la transicin es de 1 a 0 lgico, en este caso se dice que la transicin se da por flanco negativo. Este FLIP-FLOP se puede utilizar para que la transicin se d por flanco negativo, simplemente basta con poner a la entrada del reloj (CLK) un inversor

FLIP-FLOP T (Toggle)
Este recibe su nombre por la funcin que realiza (Toggle) cambiando el estado de la salida por su complemento. Es una modificacin del FLIP-FLOP J-K limitndolo a cumplir exclusivamente esta funcin, la cual se logra uniendo las terminales J y K.

FLIP-FLOP T T 0 1 CLK Qi+1 Qi Qi Estados del FLIP-FLOP T

Você também pode gostar