Você está na página 1de 37

Aula

Introdução a Sistemas Digitais

Definição Sistemas Digitais


Projeto

Revisão:
Circuitos Combinacionais
Circuitos Sequênciais
Máquinas de Estados

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009
Aula
Sistemas Digitais 1
Definição

• Um sistema digital é um sistema no qual os sinais têm um número


finito de valores discretos, se contrapondo a sistemas analógicos
nos quais os sinais têm valores pertencentes a um conjunto
contínuo (infinito).

x S y x S y
(digital) (analógico)

x x

y t y t

t t
Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 2 / 37
Aula
Sistema Digitais 1
Definição (cont.)

• Uma vez que os sinais do mundo físico são analógicos, é necessários


convertê-los para sinais digitais e vice-versa sempre que os sinais digitais
tenham que interagir com os sinais do meio físico.

Processamento de sinal
ADC e armazenamento DAC

Successive Approximation Register (SAR)

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 3 / 37
Aula
Sistemas Digitais 1
Projeto
Linguagens de
Descrição funcional
• Aboradagem Descendente: decompõe o descrição de
hardware
sistema em subsistemas que são por sua
vez decompostos em subsistemas até
atingir o níve de abtração desejado.
• Desafio: obter a decomposição
Módulos
adequada para cada nível para que no
final os critérios de projeto (área,
desempenho, potência) sejam atingidos.

• Abordagem Ascendente: conecta


módulos disponíveis para formar Portas lógicas
básicas e flip-flops
subsistemas que por sua vez são
conectados para formar subsistemas até
que a especificação funcional seja
satisfeita. transistores
• Desafio: trabalhar com um conjunto
muito grande de subsistemas pequenos
para compor um sistema muito complexo.
Layout

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 4 / 37
Aula
Sistemas Digitais 1
Projeto: Arbodagem Descendente
Projeto de Sistemas Digitais usando Descrição funcional
fluxogramas, grafos, máquinas de
estados e diagrama de blocos

Descrever o projeto em linguagens


Módulos
de descrição de hardware como
por exemplo VHDL

Usar ferramentas de síntese lógica para


bibliotecas de células como o Leonardo da
Mentor
Portas lógicas
básicas e flip-flops
Usar ferramentas de síntese lógica para
plataformas programaveis como FPGAs
(Xilinx – ISE, Altera – Quartus, Actel –
Libero).
transistores

Verificar funcionalmente através de


simulação lógica com e sem atraso.
Layout

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 5 / 37
Aula
Sistemas Digitais 1
Projeto: Arbodagem Ascendente
Descrição funcional
Projeto de somadores, multiplicadores e
outros subsistemas combinacionais e
sequenciais de alta eficiência em termos
de área, desempenho e potência para
uso em sistemas digitais complexos. Módulos

Descrever o projeto em linguagens de


descrição de hardware como por
exemplo VHDL ou em esquemático
Portas lógicas
básicas e flip-flops

Verificar funcionalmente
através de simulação lógica transistores
com e sem atraso.

Verificar elétricamente através


de simulação elétrica (SPICE) Layout

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 6 / 37
Aula
Sistemas Digitais 1
Projeto: considerações finais

• Levar em cosideração o projeto na


abordagem descendente:

Chip
ALGORITMO HARDWARE

... mas também a abordagem ascendente no


momento de otimizar certos blocos e
subsistemas no projeto final do sistema
digital visando o melhor custo x benefício.

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 7 / 37
Aula
O que esperamos de um Sistema Digital?
1
Fatores de evolução

– densidade de integração ,
área ocupada

– consumo de potência

– freqüência de operação

– custo de fabricação

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 8 / 37
Aula
Wafer of Intel® Itanium® processors
1

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 9 / 37
Aula
1

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 10 / 37
Aula
Tipos de componentes 1

Circuito de aplicação específica (ASIC):


circuito integrado projetado especialmente para
uma determinada função e sistema digital.
• Full-custom
• semi-custom Chip
• Standard cell

Lógica programável (FPGAs): circuito


que pode ser customizado e re-
programado para realizar diversas funções.

Compromisso:
Custo X tempo de projeto X desempenho

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 11 / 37
Aula
1

10100011001

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 12 / 37
Aula
Revisão: Circuitos Combinacionais 1
Portas Lógicas Básicas

S=E E S NOT

E1 S
S = E1 . E2 E2 NAND

E1 S NOR
S = E1 + E2 E2

E1 E1
E2
S E2
S XOR
... XNOR ...
En En

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 13 / 37
Aula
Revisão: Circuitos Combinacionais 1
Blocos lógicos

• Saída depende apenas das entradas

Comparador de 4 bits Decodificador de 2 bits


(A3A2A1A0 e B3B2B1B0) (A1A0)
A3
A1
B3 D3
A0
A2

B2 D2
comparador
A1
B1 D1
A0

B0 D0

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 14 / 37
Aula
Revisão: Circuitos Combinacionais 1
Blocos lógicos

• Saída depende apenas das entradas

Multiplexador 4:1

A0 00 S1
A1 01 A3 S0
mux
A2 10

11 A2
A3 mux

A1
S1 S0
10
1 1
0 A0

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 15 / 37
Aula
Revisão: Circuitos Combinacionais 1
Blocos lógicos

• Saída depende apenas das entradas


S0 S1

Multiplexador 4:1

A0 00

A1 01 A0
mux
A2 10

A3 11
A1
mux

A2
S1 S0
10
1 1
0
A3

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 16 / 37
Aula
Revisão: Circuitos Combinacionais 1
Blocos lógicos

• Somador de 1 bit completo (Full-adder):

FA: full adder

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 17 / 37
Aula
Revisão: Circuitos Combinacionais 1
Blocos lógicos

Somador Ripple-Carry

A3 B3 A2 B2 A1 B1 A0 B0

Cin

C2 C1 C0

C3
S3 S2 S1 S0

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 18 / 37
Aula
Revisão: Circuitos Combinacionais 1
Blocos lógicos

• Somador Carry Look Ahead

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 19 / 37
Aula
Revisão: Circuitos Combinacionais 1
Blocos lógicos
b3 a3 b2 a2 b1 a1 b0 a0
Somador de n bits

HA HA HA HA
A ! a3 a2 a1 a0
B ! b3 b2 b1 b0 s0
_____________________________________________

s4(Cout) s3 s2 s1 s0 HA HA HA

s1
HA: half adder
HA HA

s2

HA

s4 s3

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 20 / 37
Aula
Revisão: Circuitos Combinacionais 1
Blocos lógicos

• Unidade Aritmética e Lógica (ULA) – 1 bit B0

B0 0

S1 S0 Função A0
A0
0 0 soma A+B 1 0
0 1 subtração A-B
1 0 inversão de A
S0
1 1 comparação C0 FA

1 0
S1

F0
Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 21 / 37
Aula
Revisão: Circuitos Combinacionais 1
Blocos lógicos

• Unidade Aritmética e Lógica (ULA) – n bit

Bn B1 B0

Bn 0 S0 B1 0 S0 B0 0

An A1 A0 A0
An A1
...
1 0 1 0 1 0

FA S0
Cn FA C1 FA C0

1 0 1 0 1 0
S1 S1
S1

Fn F1 F0

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 22 / 37
Aula
Revisão: Circuitos Combinacionais 1
Blocos lógicos

• Multiplicador

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 23 / 37
Aula
Revisão: Circuitos Sequênciais 1
Latches: sensível ao nível do relógio (clk)

R S Q R S Q
R Q R Q
0 0 Q 0 0 invalido
0 1 set 0 1 reset
S Q S Q 1 0 set
1 0 reset
1 1 invalido 1 1 Q

clk R S Q clk R S Q
R Q
1 0 0 Q 0 0 0 invalido
R Q 1 0 1 set 0 0 1 reset
1 1 0 reset S Q 0 1 0 set
S Q 1 1 1 Invalido 0 1 1 Q
0 X X Q 1 X X Q
clk
clk

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 24 / 37
Aula
Revisão: Circuitos Sequênciais 1
Latches: sensível ao nível do relógio (clk)

J K Q D
J Q Q clk D Q
0 0 Q
1 0 0
0 1 set Q
K Q 1 1 1
1 0 reset
0 X Q
1 1 Q
clk
clk J K Q clk D Q
D Q
1 0 0 Q 0 0 0
J Q 1 0 1 set 0 1 1
1 1 0 reset Q 1 X Q
K Q 1 1 1 Q
0 X X Q
clk
clk

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 25 / 37
Aula
Revisão: Circuitos Sequênciais 1
Flip-flops: sensível a borda do relógio (clk)

clk R S Q
0 0 Q D Q clk D Q
R Q 0 1 set
1 0 0 0
reset
Q Q 1 1
S 1 1 Invalido
X X X Q X X Q

clk clk
clk J K Q clk D Q
D Q
0 0 Q 0 0
J Q 0 1 set 1 1
1 0 reset Q X X Q
K Q 1 1 Q
X X X Q
clk
clk

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 26 / 37
Aula
Revisão: Circuitos Sequênciais 1
Registradores

D clk D Q
dado dado
clk X X Q

Q
Reset síncrono
D clk reset enable D Q
reset enable 1 X X reset
clk 0 1 dado dado
0 0 X Q
Q X X X X Q

Reset assíncrono
D clk reset enable D Q
reset enable X 1 X X reset
clk 0 1 dado dado
0 0 X Q
Q X 0 X X Q

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 27 / 37
Aula
Revisão: Circuitos Sequênciais 1
Registradores Deslocamento

clk reset enable D L/R Q


D 1 X X X reset
reset enable 0 1 dado 0 dado
clk L/R 0 0 X 1 Qi<=Qi-1
0 0 X 0 Qi<=Qi+1
Q 0 1 X 1 Q
X X X X X Q

LFSR: linear feedback shift register Uso de seed (semente)

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 28 / 37
Aula
Revisão: Circuitos Sequênciais 1
Contadores

D clk reset load D Q


reset load 1 X X reset
clk 0 1 dado dado
0 0 X Q
Q X X X X Q

D clk reset enable D u/d Q


reset enable X 1 X X X reset
clk u/d 0 0 dado 0 dado
0 0 X 1 Q+1
Q 0 1 X 0 Q-1
0 1 X 1 Q
X 0 X X X Q

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 29 / 37
Aula
Revisão: Máquinas de Estados 1
Definição

• Uma máquina de estados é uma combinação de 5 elementos:

(", X, g, x0, F)

Onde:
" é um alfabeto finito
X é um conjunto finito de estados
g é a função de transição de estado g : X x " -> X
X0 é o estado inicial, x0 # X
F é o conjunto de estados finais, F $ X.

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 30 / 37
Aula
Diagrama de Estados 1

• O diagrama de estados representa a máquina de estados finito e


contem:
– Circulos: que representam os estados da máquina rotulados
com o nome do estado e tambem ou não com sua codificação.
– Arcos diretos: que representam as transições entre estados
rotulados com entradas/saídas para a transição de estados.

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 31 / 37
Aula
Máquina de Estados Finitos 1
TIPO MOORE

• Saída depende apenas


do estado atual.
Entradas A
Lógica de
reset A=‘1’ próximo
estado
S0
F=‘0’; A=‘0’ reset

estado
S1

A=‘0’ F=‘1’;

clk F
saídas
A=‘1’

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 32 / 37
Aula
Maquina de Estados Finitos 1
TIPO MEALY

• Saída depende da entrada e


do estado atual.
Entradas A F
Lógica de
reset A=‘1’ próximo
F=‘0’; Estado e saída
S0
A=‘0’ reset
F=‘1’;

estado

S1
A=‘0’
F=‘0’; clk
A=‘1’
F=‘1’;
Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 33 / 37
Aula
Maquina de Estados Finitos 1
TIPO MEALY Solucionar problemas de estabilização

• Saída depende apenas Entradas Saída


do estado atual. A
F
A=‘1’ Lógica de
reset próximo
F=‘0’; Estado e saída
S0 clk
clk
A=‘0’ reset
F=‘1’;

estado
S1
A=‘0’
F=‘0’;
clk
A=‘1’
F=‘1’;

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 34 / 37
Aula
Considerações sobre Diagramas de Estados
1

• Máquinas de estado (FSM) podem estar em apenas um estado por


vez no tempo, logo há em apenas um estado ou circulo em um
determinado tempo t.
• Transição de estados são permitidas apenas na transição de subida
OU descida do relógio (clk), dependendo do elemento de
armazenamento de estado (se é sensivel a borda de descida ou
subida). FSM sincronas!!!

• A representação de máquinas de Mealy e Moore são diferentes


como visto.
– Máquinas de Mealy, as entradas e saidas são definidas nos
arcos (transições entre estados).
– Máquina de Moore, as entradas são definidas nos arcos
(transições entre estados) e a saída é definida no estado (dentro
do círculo).

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 35 / 37
Exercício: Projeto de uma máquina de Aula
estados até o hardware 1

1. Descrição do problema em diagrama de estados


2. Montagem da tabela de proximo estados e saída
3. Descrição do esquemático lógico da FSM

Problema: Detector de sequência ...1101...

Sinal A
Saida D (detectou D=1)

clk reset

Sinal de entrada deve ser amostrado...

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 36 / 37
Aula
Exercício: 1

• Proponha uma outra solução de hardware para detectar essa


sequencia:

Problema: Detector de sequência ...1101...

Sinal A
Saida D (detectou D=1)

clk reset

Sinal de entrada deve ser amostrado...

Disciplina: Sistemas Digitais – Profa. Dra. Fernanda Gusmão de Lima Kastensmidt – 2009 37 / 37

Você também pode gostar