Você está na página 1de 6

Reset

JOÃO LEONARDO FRAGOSO

Objetivo
2

 Colocar o circuito em um estado bem definido


 Pode recuperar qualquer problema... ☺

 Pode ser especificado em qualquer nível de abstração


do projeto
 Quanto mais cedo melhor pra determinar o estado inicial do
circuito.
 Determinante em circuitos sequênciais

1
Tipos de Reset
3

 Hard Reset
 Power on reset
 Soft Reset
 Controlado por watchdog

Regras Hard/Soft Reset


4

 O sinal de reset deve vir de uma entrada primária


 Hard reset  assíncronos
 Soft reset  síncrono
 Deve estar claro o que o soft-reset não reseta ☺

2
Recomendações
5

 Reset deve ser bem definido na especificação


 O que deve ser resetado deve estar claro e também os tipos de
reset.

 Se existem registradores, o valor a ser assumido pós-


reset deve ser definido em uma tabela.

Watchdog
6

 Um watchdog com timer é implementado em geral


quando existe perigo do sistema “pendurar”.
 È usado em aplicações críticas. Quando acontece o
timer é ativado e depois do tempo programado o
sistema é resetado.

3
Implementação
7

 Um exemplo de implementação: o programa tem que


escrever no registrador de tanto em tanto tempo
senão o watchdog é “triggado” e vai disparar o reset.
Deve existir uma maneira de desativá-lo.

Exemplo de reset
8
 VHDL entity badFFstyle is

 codificação ruim port (


clk : in std_logic;
rst_n : in std_logic;
d : in std_logic;
q2 : out std_logic );
end badFFstyle;
architecture rtl of badFFstyle is
signal q1 : std_logic;
begin
process(clk)
begin
if (clk’event and clk = ‘1’) then
if (rst_n = ‘0’) then
q1 <= ‘0’;
else
q2 <= q1; q1 <= d;
end if;
end if;
end process;
end rtl;

4
Resultado
9

d q1 q2

rst_n enable

Sinal de reset fira


um enable
clk

Exemplo 2
10
 Um pouco
architecture rtl of goodFFstyle is
melhor signal q1 : std_logic;
begin
process(clk)
begin
if (clk’event and clk = ‘1’) then
if (rst_n = ‘0’) then
q1 <= ‘0’;
else
q1 <= d;
end if;
end if;
end process;
process(clk)
begin
if (clk’event and clk = ‘1’) then
q2 <= q1;
end if;
end process;
end rtl;

5
Resultado
11

d q1 q2

rst_n

Sem reset no
segundo flip-flop
clk

Você também pode gostar