Você está na página 1de 22

LISTA DE FIGURAS

Figura 1- Etapas de Projecto em VHDL .................................................................................... 9


Figura 2- Etapas da Ferramenta VHDL. .................................................................................. 10
Figura 3-Etapa de Elaboração da Descrição VHDL ................................................................. 11
Figura 4 - Síntese da Descrição VHDL .................................................................................... 12
Figura 5-Passos executados na ferramenta de Síntese VDHL ................................................... 13
Figura 6- Etapa final do projecto ............................................................................................. 13
Figura 7-Etapas de um projecto em VDHL .............................................................................. 14
Figura 8- Comparação das Características Comportamental entre VDHL e Verilog ................. 18
Figura 9- Comparação das Características Estruturais entre VHDL e Verilog. ........................ 18
LISTA DE TABELAS

Tabela 1-Diferença entre Linguagem de Descrição de Hardware e Linguagem de Software ..... 20


Sumário

Introdução ............................................................................................................................... 4

1. Linguagem de Descrição de Hardware ........................................................................... 5

1.1. Vantagens da Linguagem de Descrição Hardware ................................................. 6

1.2. Desvantagens da Linguagem de Descrição Hardware ............................................ 6

2. Linguagens de Descrição VHDL e Verilog ..................................................................... 6

2.1. VHDL ....................................................................................................................... 6

2.1.1. Vantagens e desvantagens de se utilizar VHDL .............................................. 8

2.1.2. Características do VHDL................................................................................. 9

2.1.3. Ciclo do Projecto em VHDL ............................................................................ 9

2.1.4. Etapas de Projeco em VHDL ......................................................................... 10

2.2. Verilog ....................................................................................................................... 15

2.3. VHDL E Verilog comparação ........................................................................................ 17

2.4. Modelação em HDLs: Recomendações gerais ............................................................. 18

3. Diferença entre a linguagem de Descrição de Hardware e a linguagem de Software . 19

Conclusão .............................................................................................................................. 21

Bibliografia............................................................................................................................ 22
4

Introdução

Linguagem de programação hardware permite descrever, simular e sintetizar


circuitos electrónicos . A referida linguagem é usada para escrever especificações
executáveis de algum pedaço de hardware, usando uma sintaxe semelhante à de
linguagens de programação convencionais. Elas permitem modelar o comportamento e
a estrutura dos circuitos, além de facilitar a verificação e a depuração.

O sistema binário é imprescindível para a computação porque facilita o


processamento de dados nos circuitos eletrônicos dos computadores, que podem
reconhecer apenas dois dígitos : 0 e 1. E esses dígitos representam os estados ligado e
desligado dos circuitos eletrônicos, e podem formar combinações que codificam letras,
números, símbolos e até imagens. O mesmo sistema possibilita a realização das
operações lógicas e aritméticas feitas pelo computador.

O presente trabalho tem como objectivo geral apresentar aos estudantes do curso
de Engenharia informática e Sistema de informação sobre os conceitos básicos e as
aplicações das linguagens que permitem a especificação, o design e a simulação dos
circuitos electrónicos .

Desta feita iremos elucidar sobre os conceitos de linguagem de descrição de


hardware, abordar de uma forma um pouco mais aprofundade sobre os tipos de
linguagem de descrição e mostrar alguns exemplos de linguagens de descrição de
hardware.

Para o desenvolvimento do presente trabalho foram utilizadas pesquisas


bibliográficas . A mesma pesquisa baseou-se em publicações científicas sobre
linguagens de descrição de hardware.
5

1. Linguagem de Descrição de Hardware

Em eletrônica, uma linguagem de descrição de Hardware(LDH) é uma


linguagem de especificação ou linguagem de modelagem para uma descrição
formal e design de circuitos electrônicos, e mais comunente, a lógica digital. A
LDH pode descrever o funcionamento do circuito, a sua concepção e
organização, e ainda testá-lo para verificar seu funcionamento por meio de
simulação.

São padrões de expressões baseados em texto, da estrutra espacial,


temporal e comportamental dos sistemas electrônicos. Como outras linguagens
de programação, LDHs incluem anotações explícitas para expressar a
simultaneidade bem como sintaxe e semântica próprias. Sendo assim, em
contraste com maioria dos softwares de linguagem de programação, LDHs
também incluem uma noção implícita de tempo, como um atributo primário de
hardware. A linguagem cuja a única característica é de expressar a conectividade
do circuito digital.

As LDHs são imprescindíveis para o desenvolvimento de sistemas


electrônicos digitais. Elas expressam as especificações de um circuito de uma
forma clara, modular e reutilizável, além de facilitar a simulação, a depuração, a
otimização e a síntese do mesmo.

Elas permitem integrar diferentes componentes e tecnologias em um


único projecto aumentando a produtividade e a qualidade dos resultados.

As primeiras linguagens de descrição de hardware foram


ISP(Processador de conjunto de Instruções), e Karl( Kaiserslautern Register
6

Transfer Language). Ambas desenvolvidas por volta de 1997, Karl na


Universidade de Kaieserslautern e ISP na Carnegie Mellon University.

A ISP foi mais como uma linguagem de programação de software, usada


para descrever as relações entre as entradas e saídas do projecto. No entanto, ela
foi empregue para simular o design, mas não para sintetizá-lo. Já a liguagem de
descrição de hardware Karl incluiu recursos de linguagem de cálculo de design
com suporte para planejamento de VLSI chip floorplanning e uma estrutura de
design de hardware.

1.1. Vantagens da Linguagem de Descrição Hardware


 Menor taxa de erros e tempo de desenvolvimento;
 Possibilidade de simular o circuito antes sintetizá-lo;
 Separação entre funcionalidade e implementação;
 Síntese e verificação automáticas;
 Modularização e reaproveitamento de módulos.
1.2.Desvantagens da Linguagem de Descrição Hardware
 Descrever circuitos é diferente programar;
 É necessário conhecer circuitos digitais;
 São necessárias ferramentas especiais;
 Descrever um hardware.

2. Linguagens de Descrição VHDL e Verilog

Apresentaremos nesta secção duas linguagens de descrição mais usadas


actualmente, VDHL e Verilog. Depois de um breve histórico, aduzimos as principais
características de cada uma das LDHs.

2.1. VHDL

O que significa VHDL?

Very High Speed Integrated Circuit

Hardware
7

Description
Language

Podemos dizer livremente o nome VHDL como "linguagem de descrição de


hardware para circuitos integrados de velocidade muito alta".

Foi desenvolvida pelo Departamento de Defesa dos Estados Unidos por volta
1980. É uma linguagem usada para facilitar o projeto (concepção) de circuitos digitais
programáveis. Apresenta uma descrição textual, um algoritmo, para desenvolver o
circuito, sem necessidade de especificar explicitamente as ligações entre componentes.
VHDL é utilizada para as tarefas de documentação, descrição, síntese, simulação, teste e
verificação formal. É padronizada pelo IEEE (Institute of Electrical and Electronic
Engineers).

Dispositivos de Hardware Digital operam em paralelo, portanto uma linguagem


de programação convencional não pode precisamente descrever ou modelar a operação
de Hardware digital porque são baseados na execução seqüencial das instruções. Neste
caso, VHDL é apropriado, pois seu processo opera em paralelo. Lembrando também
que em VHDL, as variáveis mudam sem atraso e os sinais mudam com um pequeno
atraso.

As primeiras linguagens de descrição de hardware foram desenvolvidas no final


dos anos 60, como alternativa às linguagens de programação para descrever e simular
dispositivos hardware. Durante dez anos, inúmeras linguagens foram desenvolvidas
com sintaxe e semânticas incompatíveis, permitindo descrições a diferentes níveis de
modelização. No final dos anos 70, o Departamento de Defesa dos Estados Unidos
definiu um programa chamado VHSIC (Very High Speed Integrated Circuit) que visava
a descrição técnica e projeto de uma nova linha de circuitos integrados. Com o avanço
acelerado dos dispositivos eletrônicos, entretanto este programa apresentou-se
ineficiente, principalmente na representação de grandes e complexos projetos.
8

Em 1981, aprimorando-se as idéias do VHSIC, foi proposta uma linguagem de


descrição de hardware mais genérica e flexível. Esta linguagem chamada VHDL
(VHSIC Hardware Description Language) foi bem aceita pela comunidade de
desenvolvedores de hardware e em 1987 se tornou um padrão pela organização
internacional IEEE, Padrão IEEE: IEEE Std 1076-1987. Em 1992 foram propostas
várias alterações para a norma, 1993 o IEEE publicou uma versão revisada: IEEE Std
1076-1993 (VHDL - 93), mais flexível e com novos recursos. A qual até hoje é a mais
amplamente utilizada.

2.1.1. Vantagens e desvantagens de se utilizar VHDL

Vantagens

 Projeto independente da tecnologia;


 Ferramentas de CAD compatíveis entre si;
 Facilidade na atualização dos projetos;
 Reduz tempo de projeto e custo;
 Elimina erros de baixo nível;
 Reduz “time-to-market.

Desvantagens

 Hardware gerado é menos otimizado;


 Controlabilidade/Observabilidade de projeto reduzidas;
 Falta de pessoal treinado para lidar com a linguagem;
 Simulações geralmente mais lentas que outras implementações
9

2.1.2. Características do VHDL

A linguagem VHDL permite particionar o sistema em diferentes níveis de abstração,


quais sejam:

 Nível de Sistema;
 Nível de transferência entre registradores ( RT level);
 Nível lógico.

Como também permite três domínios de descrição:

 Comportamental;
 Estrutural;
 Físico.
2.1.3. Ciclo do Projecto em VHDL

O projeto de um sistema digital auxiliado por ferramentas computadorizadas segue


normalmente as três etapas mostradas na figura.

Figura 1- Etapas de Projecto em VHDL

Fonte : Luiza Maria Romeiro Codá (2014).


10

2.1.4. Etapas de Projeco em VHDL

 Especificação: determinar requisitos e funcionalidade do projeto.


 Codificação: com base nas especificações, descrever em VHDL todo o
projeto, segundo padrões de sintaxe.
 Ferramenta: submeter a descrição em VHDL à um software para verificar a
correspondência entre especificação e código e sintetizar o circuito. Tal
como na figura 1, a etapa pode ser dividida em duas sub-etapas :

- Etapa de elaboração da descrição VHDL ;

- Etapa de síntese da descrição VHDL.

Figura 2- Etapas da Ferramenta VHDL.

Fonte: Luiza Maria Romeiro Codá(2014).

A etapa de elaboração da descrição VHDL é ilustrada na Figura 3 Como a


linguagem VHDL que possibilita descrever o mesmo circuito de diversas maneiras, ou
seja, com diferentes níveis de abstração, o código gerado pode não poder ser sintetizado.
No entanto são necessários vários processos iterativos de simulações até ser atingida
11

uma descrição que coincida com as especificações do projeto e que também possa ser
sintetizada.

A etapas da elaboração da descrição VHDL estão explicadas a seguir:

 Compilação: transforma o arquivo texto (descrição VHDL) em


informações sobre o circuito.
 Simulação do Código-Fonte: simular o código em ferramenta confiável a
fim de verificar preliminarmente cumprimento da especificação.

Figura 3-Etapa de Elaboração da Descrição VHDL

Fonte: Luiza Maria Romeiro Codá(2014).

Posto que completada a etapa de elaboração da descrição VHDL inicia-se a Etapa


de Síntese que pode ser vista na Figura 4, a qual consiste nos passos:

 Geração de circuito nível RTL(Register Transfer Level): circuito esse que


emprega primitivas disponíveis na ferramenta (comparadores, somadores,
registradores e portas lógicas). Esse circuito é gerado após a verificação de erro
de sintaxe e consiste em uma interligação das estruturas necessárias para obter o
circuito gerado a partir da descrição. Nessa etapa o circuito gerado ainda não
está associado a nenhuma tecnologia de fabricação.
12

 Geração de circuito específico para a tecnologia escolhida: dessa etapa


obtém-se um arquivo contendo uma rede de ligações entre os elementos
disponíveis na tecnologia empregada.

Figura 4 - Síntese da Descrição VHDL

Fonte: Luiza Maria Romeiro Codá(2014).

O processo de síntese partindo da descrição VHD é ilustrado pela figura 5, a


qual executa a soma de valores entre zero e sete. A ferramenta gera o circuito RTL que
sugere utilizar um somador com três bits e na etapa seguinte elabora a primitiva RTL
utilizando os elementos disponíveis na tecnologia escolhida.
13

Figura 5-Passos executados na ferramenta de Síntese VDHL

Fonte: Luiza Maria Romeiro Codá(2014).

A partir da rede de ligações obtida na etapa anterior, a ferramenta define o


posicionamento e as interligações dos componentes no dispositivo, antes da construção,
ou a implementação no dispositivo. Essa etapa é ilustrada na Figura 6.

Figura 6- Etapa final do projecto

Fonte: Luiza Maria Romeiro Codá(2014).


14

Todo o processo desda a especificação, até a implementação do projecto


é esquematizado pela Figura 7.

Figura 7-Etapas de um projecto em VDHL

Fonte: Luiza Maria Romeiro Codá(2014).


15

2.2. Verilog

Verilog é uma linguagem usada para modelar sistemas electrônicos ao nível de


circuito. Faz a descrição da estrutura e o comportamento de um sistema em diferentes
níveis de abstração.

Um dos principais atributos da modelagem de circuitos por uma linguagem


descritiva frente à modelagem por captura de esquemático, é que desta maneira o
projecto se torna indepentende da plataforma de desenvolvimento(IDE) em que se está a
trabalhar o mesmo projecto. Outrossim, adotando-se as boas maneiras na descrição dos
circuitos, o compilador é capaz de contornar a ausência de determinado recurso na
tecnologia onde o circuito será sintetizado, conferindo uma portabilidade desse modela
para qualquer dispositivo onde pode ser sintetizado.

Com placas de desenvolvimento baseadas nos circuitos integrados específicos, é


possível descarregar o código gerado nessa linguagem para matrizes de portas lógicas
combinacionais(gates) e sequencias(flip-flops) e seus híbridos, que constituem o que se
chama de uma célula padrão(standard cell) , por exemplo, em FPGAs( field
programmable gate array ou matriz de portas programáveis). Esses mesmo circuitos
integrados são constituídos por milhares de blocos lógicos, e fazem basicamente o
mesmo que vários circuitos integardos, com a diferença de que a matriz é
reprogramável. De maneira concisa, o compilador interpreta o código, e a ferramenta de
programção, nesse caso o Verilog, realiza a gravação da lógica que define as
interconexões desses blocos, de modo a atender à descrição textual modelada na
linguagem.

Verilog foi uma das primeiras linguagens de descrição de hardware(HDL) a ser


inventada. Foi criada por Prabhu Goel e Phil Moorby, isso em 1983 à 1984. Automated
Integrated Design Systems posteriormente Gateway Design Automation , que detentora
ferramenta Verilog na época, foi comprada pela Candence Design Systems em 1990,
que passou ser a possessora dos direitos sobre as linguagens Verilog e Verilog-XL, que
se tornariam uma padrão na década seguinte. No início, a linguagem tinha por objectivo
descrever e permitir simulações, mais tarde foi lhe acrescentada a síntese de circuitos.
16

Na época, diante do sucesso crescente da lingaugem VHDL, a empresa Cadence


decidiu tornar a linguagem aberta para padronização, transferindo-a para domínio
público, subordinada à Open Verilog International(OVI; actualmente Accellera).
Posteriormente submetida ao IEEE, tornou-se padrão segundo o IEEE standard 1364 à
199

Posteriormente submetida ao IEEE, tornou-se padrão segundo o IEEE standard


1364 /1995. As extensões ao Verilog-95 foram submetidas para cobrir deficiências que
os usuários encontraram no padrão original. Essas extensões deram origem ao Verilog-
2001. Verilog-2001 teve um avanço exponencial em relação ao Verilog-95. Isso se
deve, por adicionar suporte explíctos ( complemento de 2) às redes e variáveis com
sinais. Sendo que anteriormente, as operações com sinais precisavam usar manipulações
ao nível de bits, com o Verilog-2001 a mesma função podia ser descrita de uma forma
sucinta mediante o suo de operações nativas: +,-,/,*,>>>>.

Um mecanismo semelhante ao generate/endgenerate, do VHDL, permitia ao


Verilog-2001 controlar instâncias e comandos para instanciações através de operadores
comuns de decisão (case/if/else), podendo até mesmo instanciar arranjos de instâncias,
com controle sobre a conectividade individual de cada uma. Entradas e saídas com
arquivos foram aprimoradas para diversas novas tarefas. Além disso, foram introduzidas

O Verilog-2005 (IEEE Standard 1364-2005) veio a ser uma atualização do


padrão anterior, incluindo pequenas correções, esclarecendo especificações e
introduzindo novas características à linguagem (como a palavra-chave “uwire”). Em
particular, uma parte do padrão Verilog, Verilog-AMS, procurou integrar o
modelamento de sinais analógicos e híbridos à linguagem tradicional.

O SystemVerilog é um superconjunto de Verilog-2005, com novas características e


capacidades para auxiliar o projeto e sua verificação.

O advento de outras linguagens orientadas à verificação, como OpenVera e


Verisity, estimularam o desenvolvimento de Superlog pela empresa Co-Design
Automation Inc, mais tarde adquirida pela Synopsys. As contribuições de Superlog e
Vera foram doadas pela Accellera; e posteriormente seriam incorporadas ao
padrão IEEE Standard P1800-2005: SystemVerilog.
17

Apartir de 2009, System Verilog e a linguagem Verilog fundiram-se no padrão


System Verilog 2009 (IEEE standard 1800-2009).

2.3. VHDL E Verilog comparação

 Capacidade de modelação:

 Semelhante para modelos estruturais;


 VHDL oferece melhor suporte para modelos abstratos e modelos de
atrasos;
 Verilog tem melhores contruções para modelar ao nível lógico e
primitivas de biblioteca de ASICs e FPGAs.

 Tipos de dados:

 VHDL suporta tipos de dados abstratos criados pelo utilizador;


 Em verilog os tipos são muito simples e mais próximos do hardware
(wire e reg).

 Aprendizagem:

 VHDL é fortemente típada, menos intuitiva, mais verbosa(baseada em


ADA);
 Verilog é mais simples e menos verbosa(baseada em C);

 Parametrização:

 VHDL tem construções para parametrizar número de bits, replicar


estruturas e configurar modelos;
 Verilog suposta apenas modelos em parâmetros, instanciação de
parâmetros;
18

Figura 8- Comparação das Características Comportamental entre VDHL e Verilog

Fonte : António José Duarte

Figura 9- Comparação das Características Estruturais entre VHDL e Verilog.

Fonte : António José Duarte

2.4. Modelação em HDLs: Recomendações gerais

 Antes de iniciar a construção do modelo:


19

 Definir a arquitectura e estruturar o projecto (particionamento);


 Ferramentas de síntese não processam bem circuitos muito grandes.

 Escrever o código de modo a reflectir a arquitectura

 Estruturando em módulos e funções, ter em mente a reutilização;


 Favorecer a legibilidade: nomes, comentários, parênteses e parâmetros.

 Garantir a precisão da simulação:

 Deve traduzir fielmente o comportamento do hardware gerado;


 Modelar correntamente o comportamento das partes não sintetizáveis.

3. Diferença entre a linguagem de Descrição de Hardware e a


linguagem de Software

Uma linguagem de descrição de hardware (LDH) é uma linguagem que


permite descrever o hardware de um computador em forma textual. Ela
especifica o comportamento e a estrutura dos circuitos eletrônicos,
principalmente os circuitos lógicos digitais. Uma LDH pode ser usada para
simular, testar e sintetizar o design do hardware antes da fabricação. Já a de
software é uma linguagem de programação que permite criar aplicações de
software que irão operar em um processador adequado. Ela escreve um conjunto
de instruções que a CPU pode executar para realizar tarefas específicas. Uma
linguagem de software pode ser usada para desenvolver vários tipos de
aplicações, como jogos, sistemas operacionais, bancos de dados.
20

Resumidamente, podemos dizer que diferença entre uma linguagem de


descrição de hardware e uma linguagem de software é que a primeira descreve o
hardware em um nível de abstração mais alto do que a segunda, que descreve o
software em um nível mais próximo da máquina. Além disso, uma HDL tem suporte
sintático e semântico para expressar a simultaneidade e o comportamento temporal
do hardware, enquanto uma linguagem de software tem suporte para expressar a
sequencialidade e o comportamento lógico do software.

Tabela 1-Diferença entre Linguagem de Descrição de Hardware e Linguagem de Software

Linguagem de descrição de Hardware Linguagem de Software


Define o comportamento dos circuitos Ajuda a desenvolver diversas aplicações
digitais
Define a estrutura e o comportamento de Escreve um conjunto de instruções para CPU
circuitos electrônicos, precisamente dos realizar uma tarefa específica .
circuitos digitais
É mais complexo Não é tão complexo
Linguagem com suporte sintático e Linguagem Capaz de traduzir instruções de
semântico. máquina e executá-las em um computador
Fonte: Autoria própria .
21

Conclusão

Tendo em vista os aspectos observados é imprescindível que todos se


conscientizem de que a linguagem de descrição de hardware ou simplesmente LDH,
descreve o funcionamento do circuito, sua concepção e organização, verificando o seu
funcionamento por meio de simulação usando o sistema binário para possibilitar a
realização das operações lógicas e aritméticas feitas pelo computador.

Portanto ela escreve um conjunto de instruções que a CPU pode executar para
realizar tarefas específicas. De forma concisa as LHD permitem descrever o hardware
de um computador em forma textual.
22

Bibliografia

(2022). Fonte: Acervo Lima: https://acervolima.com/diferenca-entre-a-linguagem-de-


descricao-de-hardware-e-a-linguagem-do-software/

Albertini, B. (2014). Histórico. Fonte: B.Albertini: https://balbertini.github.io/vhdl_history-


pt_BR.html

Bastos, A. V. (Maio de 2015). Obtido de DOCPLAYER: https://docplayer.com.br/13556328-


Conceitos-de-linguagens-de-descricao-de-hardware-verilog-vhdl-alex-vidigal-bastos-
alexvbh-gmail-com.html

Silva, P. M. (s.d.). Fonte: Universidade Tecnológica Federal do Paraná:


http://paginapessoal.utfpr.edu.br/chiesse/disciplinas/logica-
reconfiguravel/vhdl/VHDL.pdf/at_download/file

Você também pode gostar