Você está na página 1de 1

Eletrônica Digital

Aluno (a): Data: __/__/202_.

Avaliação Pratica
INSTRUÇÕES:
 Esta Avaliação contém 1 (uma) questão, totalizando 10 (dez) pontos;
 Baixe o arquivo disponível com a Atividade Pratica;
 Você deve preencher dos dados no Cabeçalho para sua identificação:
o Nome / Data de entrega.
 As respostas devem ser digitadas abaixo de cada pergunta;
 Ao terminar grave o arquivo com o nome Atividade Prática;
o Quando solicitado
 Envio o arquivo pelo sistema no local indicado;
 Em caso de dúvidas consulte o seu Tutor.

O projeto de circuitos digitais baseados em elementos discretos ou em linguagens de


descrição de hardware (hardware description language – HDL), em geral, integra tanto
elementos combinacionais quanto sequenciais. Nesse contexto, um projetista deve ter a
capacidade de escolher a abordagem que melhor se adequa ao perfil das partes do seu
projeto e, assim, realizar uma implementação consistente.

Neste Desafio, você, como um profissional da área, deverá desenvolver um circuito digital
em HDL contendo elementos com características combinacionais e sequenciais.

Você também pode gostar