Você está na página 1de 132

UNIVERSIDADE DE PASSO FUNDO

FACULDADE DE ENGENHARIA E ARQUITETURA


CURSO DE ENGENHARIA ELTRICA







ESTUDO SOBRE SDR (SOFTWARE DEFINED RADIO):
UMA APLICAO








Disciplina: Projeto de Graduao
Acadmico: Leonardo Perera Cassol
Professor: Carlos Allan Caballero Petersen






Passo Fundo, dezembro de 2009.
Leonardo Perera Cassol






Estudo sobre SDR (Software Defined Radio):
uma aplicao






Trabalho apresentado ao curso de Engenharia
Eltrica, da Faculdade de Engenharia e
Arquitetura, da Universidade de Passo Fundo,
como requisito para obteno do ttulo de
Engenheiro Eletricista, sob orientao do Prof.
Dr. Carlos Allan Caballero Petersen.







Passo Fundo, 2009
Leonardo Perera Cassol





Estudo sobre SDR (Software Defined Radio):
uma aplicao



Banca Examinadora:

____________________________________________________
Professor Dr. Carlos Allan Caballero Petersen UPF Orientador

__________________________________________________
Professor Dr. Paulo Srgio Corra Molina UPF Examinador

___________________________________________________
Professora Dra. Blanca Rosa Maquera Sosa UPF - Examinador



Passo Fundo, 2009










Dedico este trabalho aos meus pais
Hlio e Marizete que tanto lutaram
pela minha educao e pelo apoio
que me deram durante toda a
caminhada no curso de Engenharia
Eltrica, a minha irm Suelen por
toda a compreenso e a minha
namorada Gabriela pelo
companheirismo, pacincia,
compreenso e apoio durante a
realizao deste trabalho.
AGRADECIMENTOS


Primeiramente a Deus, por me dar fora, coragem, sabedoria e oportunidade. Aos
meus pais por estarem sempre do meu lado me dando foras para prosseguir, principalmente
nos momentos em que minhas foras j no existiam mais, aos meus amigos particulares e da
graduao por termos dividido nestes anos de graduao nossas tristezas, alegrias, angstias,
dvidas e vitrias. A todos os professores do curso de Engenharia Eltrica da Universidade de
Passo Fundo pela transmisso de seus conhecimentos. Um agradecimento especial ao
professor Carlos, professor orientador deste trabalho por ter acreditado no meu potencial e ter
me auxiliado na realizao do mesmo. Enfim, a todos que de uma forma ou outra
contriburam para a realizao deste trabalho e pela minha formao acadmica.




































No cruzes os braos diante de
uma dificuldade, pois o maior
homem do mundo morreu de
braos abertos!

Bob Marley
RESUMO

A tecnologia SDR (Software Defined Radio) ainda algo novo e, particularmente no
Brasil, poucas instituies dedicam-se ao seu estudo. Idealmente, ter-se-ia uma arquitetura
nica, digital, responsvel por todo o processamento de sinais do rdio, desde os sinais de
rdio-freqncia at os sinais de controle.
A concepo de um SDR consiste basicamente na substituio dos mdulos de
hardware por software, tornando o rdio bastante flexvel aumentando conseqentemente sua
empregabilidade. Muitas vantagens podem ser obtidas indiretamente com esta substituio,
como o aumento da autonomia da bateria e a diminuio do peso, particularmente a aqueles
destinados a serem portteis.
Este projeto teve por finalidade o estudo sobre os SDR s e suas respectivas formas de
demodulao de sinais de radio freqncia bem como o desenvolvimento de uma aplicao de
um SDR definido por um receptor de sinais de radio freqncia (RF) modulados em
amplitude (AM - amplitude modulation) para operar na faixa de freqncias de 530 kHz a
1600 kHz, sendo a sintonia realizada via software atravs de um microcomputador bem como
a utilizao do sistema de udio do mesmo para reproduo dos sinais de banda base.

Palavras-chave: SDR, receptor de sinais AM, demodulao.

LISTA DE FIGURAS

FIGURA 1 - DIAGRAMA DE BLOCOS DE UM RECEPTOR SUPER-HETERDINO.[9] ............................ 16
FIGURA 2 - DIAGRAMA DE BLOCOS DE UM RECEPTOR DE CONVERSO DIRETA.[5] ..................... 17
FIGURA 3 - PRINCPIO DE FUNCIONAMENTO DE UM SDR. ..................................................................... 19
FIGURA 4 - ESPECTRO DA BANDA RECEBIDA EM UM SDR.[9]............................................................... 20
FIGURA 5 - DIAGRAMA DE BLOCOS DE UM MISTURADOR EM QUADRATURA.[6] ........................... 23
FIGURA 6 - CIRCUITO BSICO DE UM DETECTOR POR AMOSTRAGEM EM QUADRATURA.[6] ..... 24
FIGURA 7 - CIRCUITO DO DETECTOR DE PRODUTO DE TAYLOE.[2] .................................................... 25
FIGURA 8 - CIRCUITO DO DETECTOR DE PRODUTO DE TAYLOE. ........................................................ 27
FIGURA 9 - DIAGRAMA TEMPORAL DE ACIONAMENTO DAS CHAVES ANALGICAS. ................... 28
FIGURA 10 - LARGURA DE BANDA DE DETECO DO DETECTOR DE PRODUTO DE TAYLOE. .... 40
FIGURA 11 - DIAGRAMA DE BLOCOS GERAL DO SISTEMA. ................................................................... 43
FIGURA 12 - CIRCUITO DO FILTRO PASSA-BAIXAS. ................................................................................. 46
FIGURA 13 - SMBOLO LGICO E TABELA DE ACIONAMENTO DO CI 74HC4066. .............................. 52
FIGURA 14 - DIAGRAMA LGICO E TABELA FUNCIONAL DO CI 74HC4017N. .................................... 53
FIGURA 15 - CIRCUITO DO DETECTOR DE PRODUTO DE TAYLOE. ...................................................... 55
FIGURA 16 - CIRCUITO DE UM AMPLIFICADOR DIFERENCIAL. ............................................................. 58
FIGURA 17 - CIRCUITO DOS AMPLIFICADORES DIFERENCIAIS............................................................. 60
FIGURA 18 - CIRCUITO DE DESLOCAMENTO DE FASE. ........................................................................... 62
FIGURA 19 - CIRCUITO DESLOCADOR DE FASE E SOMADOR. ............................................................... 64
FIGURA 20 - DIAGRAMA TEMPORAL DO PROTOCOLO DE COMUNICAO SPI. ............................... 69
FIGURA 21 - FLUXOGRAMA DE INICIALIZAO DO AD9833. ................................................................ 74
FIGURA 22 - FLUXOGRAMA DE ESCRITA NO AD9833............................................................................... 74
FIGURA 23 - CIRCUITO DO OSCILADOR LOCAL. ....................................................................................... 75
FIGURA 24 - CIRCUITO DO MICROCONTROLADOR. ................................................................................. 77
FIGURA 25 - DIAGRAMA TEMPORAL DE LEITURA NO FT245BL. ........................................................... 78
FIGURA 26 - DIAGRAMA TEMPORAL DE ESCRITA NO FT245BL. ........................................................... 79
FIGURA 27 - CIRCUITO CONVERSOR PARALELO/USB. ............................................................................ 80
FIGURA 28 - TELA DO SOFTWARE DO RECEPTOR AM. ............................................................................ 81
FIGURA 29 - VALOR DA FREQNCIA DE SINTONIA PARA EXPERIMENTAO. ............................. 87
FIGURA 30 - SINAIS DE SADA I E Q APS OS AMPLIFICADORES DIFERENCIAIS. ............................ 88
FIGURA 31 - SINAIS DE SADA APS O CIRCUITO DE DESLOCAMENTO DE FASE. ........................... 88
FIGURA 32 - SINAL DE SADA DE UDIO DO RECEPTOR. ........................................................................ 89
FIGURA 33 - RESPOSTA EM FREQNCIA DO HARDWARE DO RECEPTOR. ....................................... 90
FIGURA 34 - RESPOSTA EM FREQUENCIA DO FILTRO PASSA-BAIXAS. ............................................... 94
FIGURA 35 - ACIONAMENTO DAS CHAVES ANALGICAS. ..................................................................... 94
FIGURA 36 - SADAS DO DETECTOR DE PRODUTO DE TAYLOE. ........................................................... 95
FIGURA 37 - SADAS I E Q DO DETECTOR DE PRODUTO DE TAYLOE. ................................................. 95
FIGURA 38 - SADA I E Q APS CIRCUITO DE DESLOCAMENTO DE FASE. ......................................... 96
FIGURA 39 - SINAL DE SADA RESULTANTE DO CIRCUITO RECEPTOR. ............................................. 96
FIGURA 40 - LARGURA DE BANDA DE DETECO DO DETECTOR DE TAYLOE. .............................. 97
FIGURA 41 - AMPLIFICADOR OPERACIONAL. ............................................................................................ 98
FIGURA 42 - CIRCUITO DE DESLOCAMENTO DE FASE DE 90 . ............................................................ 100
FIGURA 43 - LAYOUT DA PLACA DE CIRCUITO IMPRESSO. ................................................................. 128
LISTA DE TABELAS

TABELA 1 REGIME TEMPORAL DAS CHAVES ANALGICAS. ....................................................... 31
TABELA 2 - ELEMENTOS NORMALIZADOS DO FILTRO TIPO CHEBYSHEV COM 0,5DB. ............ 48
TABELA 3 - DESCRIO DOS BITS DOS REGISTRADORES DE CONTROLE. .................................. 71
TABELA 4 - REGISTRADORES DE FREQUENCIA E FASE. ................................................................... 72
TABELA 5 - BITS DOS REGISTRADORES DE FREQUNCIA. .............................................................. 72
TABELA 6 - BITS DOS REGISTRADORES DE FASE. .............................................................................. 73
TABELA 7 - SADAS CORRESPONDENTES AOS BITS DE CONTROLE. ............................................. 73
TABELA 8 - DESCRIO DOS PINOS UTILIZADOS DO MICROCONTROLADOR. ........................... 77
LISTA DE ABREVIATURAS
Ohm;
A Ampre;
V Volts
W Watt;
Ampop Amplificador Operacional;
RF Rdio freqncia;
SDR Software Defined Radio (rdio definido por software);
AM Amplitude Modulation;
FM Frequency Modulation;
PM Phase Modulation;
Hz Hertz;
FI Frequncia Intermediria;
PC Personal Computer (computador pessoal);
A/D Analgico para Digital;
D/A Digital para Analgico;
RC Resistor-capacitor;
AGC Automatic Gain Control (controle automtico de ganho);
MSB Most Significative Bits (bits mais significativos);
LSB Low Significative Bits (bits menos significativos);
USB Universal Seria Bus;
DC Direct conversion (converso direta);
SSB Single Side Band (banda lateral nica);

SUMRIO

1. Estudo Terico...................................................................................................................... 15
1.1. Arquitetura dos receptores ......................................................................................... 15
1.1.1 Receptores superheterodinos .......................................................................... 15
1.1.2 Receptores de converso direta ou homodinos .............................................. 17
1.2. Software Defined Radio (SDR) ................................................................................. 18
1.2.1. A freqncia intermediria no SDR[7] .......................................................... 20
1.2.2. A rejeio de imagem no SDR ....................................................................... 21
1.2.3. Circuitos conversores de freqncia em quadratura ...................................... 22
1.2.3.1. Misturador em quadratura ........................................................................... 22
1.2.3.2. Detector por amostragem em quadratura ........................................................ 23
1.3. Introduo ao detector de produto de Tayloe ............................................................ 24
1.3.1. Funcionamento do detector de Tayloe[2] ...................................................... 24
1.3.2. Equacionamento do detector de Tayloe ......................................................... 26
1.3.3. Largura de banda de deteco (banda base) ................................................... 38
1.3.4. Largura de banda de deteco (RF) ............................................................... 40
2. Receptor de rdio AM para microcomputador ................................................................. 43
2.1. Diagrama de blocos do sistema ................................................................................. 43
2.1.1. Hardware do receptor ..................................................................................... 44
2.1.2. Software e interface com o usurio ................................................................ 45
2.2. Desenvolvimento do hardware .................................................................................. 45
2.2.1. Circuito do filtro passa-baixas ....................................................................... 46
2.2.2. Circuito do detector de produto de Tayloe ..................................................... 50
2.2.3. Circuito dos amplificadores somadores ......................................................... 57
2.2.4. Circuito deslocador de fase e somador........................................................... 62
2.2.5. Circuito do oscilador local ............................................................................. 67
2.2.6. Circuito do microcontrolador ......................................................................... 75
2.2.7. Circuito conversor Paralelo/USB ................................................................... 77
2.3. Desenvolvimento do software ................................................................................... 80
2.3.1. Descrio do software de controle do receptor .............................................. 81
2.3.2. Instrues de utilizao do software de controle do receptor ........................ 83
2.4. Simulaes do hardware do receptor ......................................................................... 84
2.5. Resultados experimentais do hardware do receptor .................................................. 86
2.6. Placa de circuito impresso ......................................................................................... 90
ANEXO A SIMULAO NO SOFTWARE PROTEUS .................................................... 94
ANEXO B EQUAO GERAL DE FUNCIONAMENTO DO AMPOP
[10]
...................... 98
ANEXO C PROJETO DO CIRCUITO DESLOCADOR DE FASE DE 90 ..................... 100
ANEXO D FIRMWARE DO MICROCONTROLADOR.................................................. 105
ANEXO E SOFTWARE DO APLICATIVO DE CONTROLE DO RECEPTOR ............ 110
ANEXO F CIRCUITO FINAL DO RECEPTOR ............................................................... 129
ANEXO G LAYOUT DA PLACA DE CIRCUITO IMPRESSO ...................................... 128
ANEXO H FOTOS DO PROTTIPO ................................................................................ 132
14

INTRODUO


Com o grande avano da tecnologia veio introduo de microprocessadores em
equipamentos de radiocomunicaes para controle de funes internas e incluso de novos
recursos, introduzindo-se tambm a possibilidade de se controlar os equipamentos de rdio a
partir de um computador por meio de interfaces e portas de comunicao utilizando um
software adequado para controle de numerosas funes do equipamento de rdio. Juntamente
veio a introduo de modernos equipamentos de radio com chips DSP aos quais permitem
mediante tcnicas digitais construir filtros de passagem de banda, de supresso de rudo entre
outras possibilidades, muito eficazes, e ainda melhor que os construdos tradicionalmente com
circuitos analgicos sendo estes receptores denominados de SDR.
Idealmente, um SDR consiste num rdio cujos mdulos de hardware so substitudos
por software. Desta maneira, as etapas de modulao e/ou demodulao, a sntese de
freqncias, a gerao de freqncias intermedirias (FI), as filtragens entre outros passam a
serem processados todos de forma digital. Essa substituio traz consigo diversas vantagens,
tais como a reduo de custo e consumo, d uma maior flexibilidade na implementao de
novas funcionalidades, a diminuio de tamanho e peso e facilita a manuteno e atualizao
destes equipamentos. Devido grande utilizao desses equipamentos atualmente este
trabalho tem o intuito de desenvolver um rdio definido por software que consiste em um
receptor de rdio AM por converso direta. Basicamente seu funcionamento est baseado em
um detector por amostragem em quadratura gerando sinais de banda base que sero
digitalizados, enviados ao PC pelo barramento USB onde sero processados e
disponibilizados no sistema sonoro do mesmo.

15

1. Estudo Terico

1.1.Arquitetura dos receptores


O papel de um receptor em rdio comunicao est relacionado transmisso
inteligente de informaes de um lugar para outro, sem qualquer meio fsico entre as antenas
de transmisso e recepo. Os blocos de construo bsicos de um sistema de rdio
comunicao so os transmissores e os receptores. Uma comunicao ocorre quando o
transmissor envia uma onda eletromagntica para um receptor e ento o receptor demodula e
recupera o sinal de banda base enviado pelo transmissor. Dentre as vrias configuraes dos
receptores, os mesmos so julgados quanto a sua capacidade de atenuar o rudo provindo da
transmisso pelo meio de comunicao ao qual submetido. Dentre as vrias configuraes
dos receptores podemos citar os receptores superheterodinos e os de converso direta (DC -
direct conversion) ou homodinos.


1.1.1 Receptores superheterodinos


A pea chave na arquitetura do receptor superheterodino uma ou mais converses de
freqncia entre o sinal desejado de RF e o detector. A etapa de converso de freqncia tem
dois objetivos principais, o primeiro a translao do sinal de RF a uma freqncia mais
baixa onde possvel realizar-se filtros passa-faixa mais estveis e de fcil implementao, e
o segundo usar o deslocamento de freqncia para rejeitar sinais potencialmente
interferentes. O propsito original do receptor superheterodino foi melhorar a seletividade
com respeito aos receptores de radio freqncia sintonizada (RFS).
A seo de translao de freqncia (pontos D e E na Figura 1) tambm considerada
parte do Front-end de um receptor em muitos livros. Ela consiste de um misturador de
freqncia e um oscilador local. A sada dessa seo chamada de freqncia intermediria.
O estgio de translao de freqncia seguido de um amplificador denominado de
16

amplificador de FI. Esse amplificador (pontos F, G e H na Figura 1) basicamente um
amplificador de rdio freqncia sintonizado em uma nica freqncia.
Uma amostra da sada do amplificador de FI aplicada a seo de controle automtico
de ganho (AGC) (pontos L e M na Figura 1). O propsito dessa seo manter o nvel do
sinal de sada mais ou menos constante. O circuito de controle automtico de ganho consiste
de um retificador e um filtro para as ondulaes que produzem uma tenso de controle DC,
sendo essa tenso de controle DC proporcional ao nvel de sinal de entrada de RF (ponto N na
Figura 1).
O estgio de deteco tem a funo de recuperar qualquer modulao do sinal de RF
de entrada sendo que o tipo de detector depende do tipo de modulao usado no sinal de
entrada. Sinais modulados em amplitude (AM) geralmente so manipulados por um detector
de envoltria. Sinais de SSB, DSBSC usaro um detector de produto enquanto que sinais de
FM e PM necessitaro de um detector sensvel a fase. Os estgios de sada (pontos J e K na
Figura 1) so usados para amplificao dos sinais demodulados.



Figura 1 - Diagrama de blocos de um receptor super-heterodino.[9]



17

1.1.2 Receptores de converso direta ou homodinos


Os receptores de converso direta so assim denominados porque a freqncia do sinal
de entrada convertida para banda base diretamente, sem passos intermedirios, como
acontece, por exemplo, nos receptores superheterodinos, onde feita a converso para uma
freqncia intermediria, para depois ser feita uma nova converso para obter-se o sinal de
banda base desejada.
A Figura 2 ilustra o sistema mais simples de um receptor de converso direta. O sinal
captado da antena convertido no misturador diretamente para banda base. Este sinal de
banda base passa por um filtro onde so eliminadas as componentes de alta freqncia do
sinal e aps o mesmo amplificado pelo amplificador de udio. O papel do misturador
realizar uma operao matemtica entre os sinais provindos da antena e o sinal do oscilador
local, sendo que esta operao resulta na soma e tambm na subtrao entre a freqncia
recebida e a freqncia do oscilador.



Figura 2 - Diagrama de blocos de um receptor de converso direta.[5]


18

1.2.Software Defined Radio (SDR)


Em se tratando de SDR, podemos encontrar diversas definies para descrev-lo. De
uma maneira bem resumida podemos dizer que um SDR basicamente um rdio em que
algumas ou todas as funes fsicas so definidas via software. Um rdio um sistema de
comunicao sem fio que transmite a informao atravs da propagao das ondas
eletromagnticas pelo espao. Uma grande diversidade de tipos de rdios existe atualmente,
caracterizados, por exemplo, pelas freqncias de operao, tcnicas de modulao,
codificao da informao e protocolos e os mesmos esto presentes em um grande ramo de
itens tais como celulares, computadores, veculos, televises dentre muitos outros mais.
Um SDR realiza a maior parte das funes de um equipamento de rdio, incluindo as
mais importantes, mediante o software implementado no computador. Em primeiro lugar, h
que se dizer que existe uma enorme diferena entre um radio definido por software e outro
controlado por software. Quase todos os equipamentos de rdio modernos dotados de
interfaces de informtica, so equipamentos que possuem opo de serem controlados por
computador, permitindo que se controle e visualize em parte ou todas as funes e parmetros
que normalmente esto presentes no painel frontal do equipamento, tais como, freqncia,
modo de operao (AM, FM, SSB...), controle automtico de ganho (AGC) dentre outros.
Existem at equipamentos de rdio comunicao que nem sequer painis frontais possuem,
sendo controlados totalmente por um computador, que realiza todas as funes de um painel
de comando. Nos SDR so utilizadas sofisticadas tcnicas de processamento digital de sinal,
mediante a introduo de chips DSP, implementados ao nvel das etapas de udio, para
melhorar a inteligibilidade e qualidade dos sinais. Um SDR composto de pelo menos duas
partes, que so:

Circuito conversor de freqncia em quadratura (hardware): faz parte do hardware
do dispositivo. responsvel pela converso de freqncia do sinal de RF a ser
recebido para uma freqncia intermediria (FI) suficientemente baixa para poder ser
processada por uma placa de som de um microcomputador ou ainda por um conversor
A/D adequado, em dois canais, em quadratura, determinados sinais em fase (I) e
quadratura (Q);
19

Programa de computador (software): permite processar matematicamente os sinais
em quadratura (I e Q) vindos do hardware, digitalizados por ele ou ainda digitalizados
pelo conversor A/D da placa de som. Este software realiza uma combinao
matemtica adequada dos sinais I e Q de modo a rejeitar a freqncia imagem
indesejvel existente na converso de freqncia, em seguida, efetuando a
demodulao do sinal.

Ainda relacionado ao circuito conversor de freqncia em quadratura existem hoje
circuitos de diversas configuraes que permitem a obteno destes sinais dentre os quais vale
citar o misturador em quadratura, o detector por amostragem em quadratura e o QSD
duplamente balanceado. A Figura 3 mostra o diagrama de blocos bsico de um SDR onde
podemos ver que na parte de hardware encontra-se o conversor de freqncia em quadratura
onde os sinais de FI so gerados que aps serem filtrados so enviados para um computador
ou ainda um DSP onde estes sinais sero processados.



Figura 3 - Princpio de funcionamento de um SDR.


20

1.2.1. A freqncia intermediria no SDR[7]


A freqncia intermediria (FI) dos sinais em quadratura gerados (I e Q) deve ser
suficientemente baixa para que estes sinais possam ser processados pela placa de som do PC,
que corresponde na verdade, a uma freqncia na faixa de udio e ultra-som que vai de zero
Hertz at a freqncia determinada pelo Teorema de Nyquist, que exatamente igual
metade da taxa de amostragem dos conversores A/D da placa de som do PC. A maior taxa de
amostragem das placas de som dos PCs de 48 kHz e, em vista disso os sinais em quadratura
que sero processados pelo software SDR devem ter largura de banda que vai desde 0 (Hz) a
24 kHz. Como o software do SDR processa corretamente as freqncias negativas pelo fato
de rejeitar a freqncia imagem, permitir ento, receber uma faixa de freqncias que vai
desde -24 kHz a 24 kHz em torno da freqncia do oscilador local como mostrado na Figura
4.
Portanto, em vista disso, a banda total recebida por um SDR exatamente igual taxa
de amostragem utilizada e a freqncia central da banda corresponde freqncia do
oscilador local. Citando um exemplo prtico para um valor de freqncia do oscilador local de
4 MHz, teremos que a banda total recebida ser:

(4 24 ) (4 24 ) BW MHz kHz MHz kHz = +
4 24 4 24 BW MHz kHz MHz kHz = + +
48 BW kHz =



Figura 4 - Espectro da banda recebida em um SDR.[9]

21

1.2.2. A rejeio de imagem no SDR


Num SDR, os sinais em quadratura que foram gerados pelo circuito conversor de
freqncia em quadratura (e que ambos os sinais I e Q contm a indesejvel freqncia
imagem) so digitalizados pela placa de som do PC, e em seguida processados digitalmente
pelo software SDR, que defasa o sinal Q em 90 negativos, e aps soma com o sinal I,
obtendo assim, um nico sinal de FI, com a freqncia imagem rejeitada. Aps, esse nico
sinal de FI poder ser submetido a outros processamentos digitais, como por exemplo, a
demodulao AM, SSB, FM, e DRM dentre outras . Aps a demodulao do sinal, o mesmo
convertido de analgico para digital pela placa de som (conversores DAC) para sinais de
udio, e conforme o tipo de modulao poder ser monofnico ou estreo (canais D e E).
Podemos notar que neste processo, fica evidente que o grau de rejeio da freqncia
imagem depender muito da preciso dos dois defasamentos de 90, um do circuito conversor
de freqncia em quadratura e outro do defasamento em 90 do sinal Q, e ainda, do grau de
amplitude dos sinais I e Q. No prprio software SDR, geralmente feito um ajuste fino e
automtico do grau de rejeio da freqncia imagem.
Mais detalhadamente, o processo de rejeio da freqncia imagem dado,
matematicamente por:

0
( ) cos(2. . . ) cos(2. . . )
I
I t f t f t = +
(1.0)
0
( ) sin(2. . . ) sin(2. . . )
I
Q t f t f t =
(1.1)


Mantendo o sinal ( ) I t inalterado e multiplicando o sinal ( ) Q t pela varivel
imaginria j teremos:

0
( ) cos(2. . . ) cos(2. . . )
I
I t f t f t = +
(1.2)

0
( ) .sin(2. . . ) .sin(2. . . )
I
Q t j f t j f t =
(1.3)

Como:

22

.sin( ) cos( ) j =

Teremos que o sinal ( ) Q t ser dado por:

( )
0
cos(2. . . ) cos(2. . . )
I
Q t f t f t =
(1.4)


Somando os dois sinais ( ) I t e ( ) Q t , teremos um novo sinal, sem a interferncia da
freqncia imagem que dado por:

( ) ( )
0 0
cos(2. . . ) cos(2. . . ) cos(2. . . ) cos(2. . . )
I I
f t f t f t f t I t Q t + + + =
( ) ( )
0
cos(2. . . . ) 2 I t Q t f t + =



1.2.3. Circuitos conversores de freqncia em quadratura


Como j mencionado, estes circuitos so responsveis pela converso de freqncia do
sinal de RF para uma freqncia intermediria suficientemente baixa para poder ser
processada por uma placa de som de um microcomputador ou ainda por um conversor A/D
adequado, em dois canais, em quadratura, determinados de I (In-phase signal) e Q
(Quadrature signal).
Atualmente, existem diversos tipos distintos de circuitos destinados a este fim e hoje,
os mais utilizados so os misturadores em quadratura e os detectores por amostragem em
quadratura.

1.2.3.1.Misturador em quadratura


Basicamente, este misturador em quadratura consiste em dividir o sinal de rdio
freqncia recebido ( ( ))
RF
x t multiplicando uma amostra deste sinal por um oscilador local
23

( )
LO
f , e outra amostra deste sinal pelo oscilador local ( )
LO
f defasado de 90. Deste processo
so gerados os dois sinais de sada em quadratura ( ) I t e ( ) Q t . O oscilador local responsvel
pela converso para a freqncia intermediria. A Figura 5 mostra o diagrama de blocos
simplificado deste circuito conversor de freqncia em quadratura.



Figura 5 - Diagrama de blocos de um misturador em quadratura.[6]


1.2.3.2. Detector por amostragem em quadratura


Neste tipo de circuito conversor de freqncia em quadratura o processo uma espcie
de converso direta, onde em cada ciclo da freqncia de chaveamento se obtm uma amostra
do sinal de udio em cada uma das sadas (0, 90, 180, 270). Assim, sero obtidas quatro
sadas com defasagens distintas e com essas quatro sadas distintas que se obtm os sinais em
quadratura I e Q atravs da soma dos sinais com defasagem 0 e 180, e dos sinais 90 e 270.
Essa soma realizada utilizando-se amplificadores operacionais na configurao diferencial.
Posteriormente, os sinais obtidos nessa deteco so filtrados por um filtro passa-baixa do
tipo RC, formado pela impedncia da antena e pelos capacitores de amostragem de cada ponto
do comutador rotativo como mostra a Figura 6.


24


Figura 6 - Circuito bsico de um detector por amostragem em quadratura.[6]


1.3.Introduo ao detector de produto de Tayloe


O detector de produto de Tayloe converte de um sinal de RF para um sinal de banda
base e consiste da comutao de chaves que servem para amostrar a forma de onda de RF
quatro vezes a cada perodo da freqncia de RF. Essas amostras so integradas ao longo do
tempo, produzindo uma tenso mdia nas sadas 0, 90, 180 e 270. A tenso na sada 0 o
sinal de banda base em fase ( ) I t , e a tenso na sada 90 o sinal de banda base em
quadratura ( ) Q t . Alternativamente, para incrementar ganho, o sinal na sada 0 pode ser
somado diferencialmente com o sinal na sada 180 para formar o sinal em fase ( ) I t , e o sinal
na sada 90 pode ser somado diferencialmente com o sinal na sada 270 para produzir o sinal
em quadratura ( ) Q t .


1.3.1. Funcionamento do detector de Tayloe[2]


Este circuito representa um simples e barato detector de produto que facilita a
converso de um sinal para banda base sem a interferncia da indesejvel freqncia imagem.
A comutao de chaves usada em combinao com capacitores para integrar amostras do
sinal de entrada. O sinal em fase ( ) I t e o sinal em quadratura ( ) Q t que resultam representam
o sinal de interesse de banda base.
25


Figura 7 - Circuito do detector de produto de tayloe.[2]


A Figura 7 ilustra um receptor de converso direta utilizando este detector. Neste
circuito esto presentes: resistores, circuito de polarizao, chaves de comutao, capacitores,
amplificadores somadores e estgios de atraso de fase.
Em operao, o sinal de RF ou IF
1
( ) f recebido pelo resistor 32 ( )
FILTER
R . Este
resistor forma um filtro passa-baixa do tipo RC em combinao com cada um dos capacitores
denominado por 72, 74, 76, 78 ( )
f
C . Depois que o sinal de RF passa pelo resistor 32 o
mesmo recebido na comutao das chaves de entrada. A freqncia de comutao das
chaves controlada por um sinal presente na entrada de controle 40. A freqncia do sinal de
entrada de controle igual a quatro vezes a freqncia do oscilador local que existe nos
receptores de converso direta simples. Como resultado, o sinal de entrada 36 est presente
em cada uma das quatro sadas (0, 90, 180, 270) durante um quarto do perodo da
freqncia do sinal que se deseja receber.
Durante o tempo em que a chave conecta a entrada na sada, o capacitor carregado.
O mesmo ocorre para cada um dos capacitores 72, 74, 76, 78, porm em tempos seqentes
distintos. No ciclo de comutao das chaves para as quatro sadas, os capacitores se carregam
com os valores iguais as tenses mdias do sinal de entrada durante seus respectivos
intervalos de tempo Cada capacitor um integrador, onde cada um integra um quarto do
perodo da forma onda do sinal de entrada.
A sada 42 representa o valor mdio do sinal de entrada durante o primeiro quarto do
perodo do sinal de entrada e determinado de sada 0. A sada 44 representa o valor mdio
do sinal de entrada durante o segundo quarto do perodo do sinal de entrada e determinado
26

de sada 90. A sada 46 representa o valor mdio do sinal de entrada durante o terceiro quarto
do perodo do sinal de entrada e determinado de sada 180. A sada 48 representa o valor
mdio do sinal de entrada durante o quarto quarto do perodo do sinal de entrada e
determinado de sada 270.
As sadas 42, 44, 46, 48 so as entradas dos amplificadores somadores 50 e 52. O
amplificador somador 50 soma diferencialmente a sada 0 e a sada 180, assim produzindo o
sinal de banda base em fase ( ) I t . O amplificador somador 52 soma diferencialmente a sada
90 e a sada 270, assim produzindo o sinal de banda base em quadratura ( ) Q t . O sinal de
banda base em fase ( ) I t 54 e o sinal de banda base em quadratura ( ) Q t 56 so as entradas de
um circuito de atraso de fase 58 que desloca a fase do sinal de banda base em quadratura ( ) Q t
. Os sinais resultantes ento so somados por um amplificador somador para produzir o sinal
de interesse.
O detector de produto de Tayloe apresenta diversas vantagens em relao a outros
circuitos destinados a este fim. Uma das vantagens a baixa perda de converso que pode ser
menor que 1dB, ou seja, em torno de 7dB abaixo dos conversores tpicos
6
. Outra vantagem do
detector de produto de Tayloe sua banda estreita de deteco. Como j mencionado, o
resistor de entrada e os capacitores ( )
f
C formam um filtro passa-banda para RF. O efeito do
chaveamento dos quatro capacitores forma um filtro passa-baixa que se torna um filtro passa-
banda em torno da freqncia
1
f , sendo que a largura de banda deste filtro passa-banda
determinada pela correta seleo do resistor e dos capacitores.


1.3.2. Equacionamento do detector de Tayloe


O detector de produto de Tayloe mostrado na Figura 8. Como j mencionado
anteriormente, cada chave (CH1, CH2, CH3, CH4) permanece fechada um determinado
tempo. Esse tempo determinado pela freqncia de chaveamento que ser aplicada a entrada
de controle de um circuito integrado que desempenhar o papel de acionamento das chaves. O
sinal de entrada ( )
i
V t e os sinais de sada so
1
( )
o
V t ,
2
( )
o
V t ,
3
( )
o
V t e
4
( )
o
V t que
correspondem respectivamente as j mencionadas sadas 0, 90, 180 e 270.

Figura
O sinal de entrada
genericamente representado por:
Onde, o sinal de banda base
A freqncia do sinal da portadora
freqncia do sinal de banda base
um sinal de largura de banda
de RF, ou seja, um sinal de alta
banda base, sendo assim, podemos
Portanto, vlido considerar que em um perodo do sinal da portadora
banda base ( ) z t praticamente constante. Para tal considerao, a freqncia de comutao
Figura 8 - Circuito do detector de produto de Tayloe.


O sinal de entrada ( )
i
V t um sinal de radiofreqncia, modulado em amplitude
epresentado por:

( ) . 1 . ( ) . ( )
P i
A m z V t t w t ( = +



de banda base ( ) z t e o sinal da portadora ( ) w t so

( ) cos(2. . . )
m
z t f t =
( ) cos(2. . . )
c
w t f t =

A freqncia do sinal da portadora determinada por
C
f (carrier
freqncia do sinal de banda base determinado por
m
f (message). O sinal da banda base
de largura de banda de aproximadamente a 20 k(Hz). O sinal da portador
de RF, ou seja, um sinal de alta freqncia, quando comparado com a
banda base, sendo assim, podemos dizer que:

m C
f f


, vlido considerar que em um perodo do sinal da portadora
praticamente constante. Para tal considerao, a freqncia de comutao
27


um sinal de radiofreqncia, modulado em amplitude
(1.5)
so representados por:
carrier) enquanto que a
O sinal da banda base
a 20 k(Hz). O sinal da portadora um sinal
quando comparado com a freqncia do sinal de
, vlido considerar que em um perodo do sinal da portadora ( ) w t o sinal de
praticamente constante. Para tal considerao, a freqncia de comutao
28

de cada chave deve ser exatamente igual freqncia do sinal da portadora e, ainda, devem
ter acionamento seqencias, ou seja, quando a primeira chave estiver fechada, todas as outras
devem permanecer abertas. Somente quando a primeira chave abrir a segunda chave fechar e
assim por diante. A Figura 9 ilustra a seqncia de comutao das chaves sendo o perodo da
freqncia de comutao de cada chave o perodo da freqncia da portadora do sinal de
entrada.



Figura 9 - Diagrama temporal de acionamento das chaves analgicas.


Quando uma das chaves estiver fechada, teremos um circuito RC srie sendo que a
mesma permanece fechada durante um perodo de tempo muito curto determinado por:

1
4.
on
C
t
f
=

Da mesma forma, como o perodo de chaveamento para cada uma das chaves
determinado pelo perodo do sinal da portadora, o tempo em que ela permanece aberta
determinado por:

1
ch
C
T
f
=

29

off ch on
t T t =
1 1
4.
C
off
C
t
f f
=
3
4.
ff
C
o
t
f
=


Como j mencionado, o sinal de banda base pode ser considerado constante no
perodo da freqncia da portadora, porm como cada chave ir fechar em um tempo
determinado por um quarto do perodo da portadora, na chave CH1 teremos o sinal de entrada
( ) x t sendo que na chave CH2 o sinal ser ( ) x t defasado de 90. Na chave CH3 o sinal ser
( ) x t defasado de 180 enquanto que na chave CH4 o sinal ( ) x t defasado de 270. Ento,
teremos que:

( ) ( ) ( ) 1 . 1 . .cos .
P i C
CH A m z V t t t ( = +

(1.6)

( ) 2 . 1 . .cos( . ( ) )
2
P i C
CH A m z t t V t

( = +

(1.7)

( ) ( ) 3 . 1 . .c ( . ) os
P i C
CH A m z t t V t ( = +

(1.8)

( )
3
( ) 4 . 1 . .cos( . )
2
P i C
CH A m z t V t t

( = +

(1.9)


Como:
( )
cos . sin( . )
2
C C
t t

= +
( ) cos . cos( . )
C C
t t =
( )
3
cos . sin( . )
2
C C
t t

=

Teremos:

( ) ( ) ( ) 1 . 1 . .cos .
P i C
CH A m z V t t t ( = +

(2.0)

( ) 2 . 1 . .sin( . ) ) (
i C P
CH A m z t V t t ( = +


(2.1)

( ) ( ) ( ) 3 . 1 . . cos .
C P i
CH A m t t V z t ( = +

(2.2)

30

( ) 4 . 1 . ( ) .sin( . )
P i C
CH A m z t V t t ( = +


(2.3)


Da Figura 11 quando a chave CH1 fechar o capacitor ir comear a se carregar atravs
do resistor R. Considerando o capacitor inicialmente descarregado, a corrente no instante em
que a chave fechar dada pelo valor da tenso de entrada dividido pelo resistor R, pois
inicialmente o capacitor em regime de corrente alternada se comporta como um elemento de
baixssima impedncia e pode ser considerado como um curto circuito. Como o perodo em
que a chave permanece fechada muito pequeno, o capacitor no ir se carregar totalmente, e
ento podemos considerar que a corrente que flui no instante em que a chave fechada dada
por:

( )
( )
i
V
i
R
t
t =
(2.4)


Conhecendo a corrente que flui no capacitor, podemos encontrar a tenso em cada
uma das sadas do circuito. A tenso em um capacitor em funo do tempo dada por:

( ) ( )
0
1
. .
T
C
V t i t dt
C
=

(2.5)


Como j mencionado, cada chave (CH1, CH2, CH3, CH4) permanece fechado por um
perodo de tempo igual, porm nunca todas ficam fechadas juntas. O tempo em que cada
chave permanece fechada dado por:

1
4. 2.
n
C
o
C
T
f

= =

A Tabela 1 mostra o tempo correspondente que cada chave permanece fechada
durante um perodo do sinal da portadora:



31

CH1 CH2 CH3 CH4
1
0
4.
C
f



1 1
4. 2.
C C
f f



1 3
2. 4.
C C
f f



3 1
4.
C C
f f



Tabela 1 Regime temporal das chaves analgicas.


Portanto, atravs dessa anlise as sadas do detector de produto de Tayloe so
determinadas atravs das equaes:

( )
1
4.
1
1 0
1
. ( )
1
.
c
o
f
V i t dt
C
t =

(2.6)

( )
1
2.
2
1 2
4
2
.
( )
1
. .
c
c
f
f
o
V t i t dt
C
=


(2.7)

( )
3
4.
3
1 3
2
3
.
( )
1
. .
c
c
f
f
o
V t i t dt
C
=

(2.8)
( )
1
4
3 4
4.
4
1
. ) . (
c
c
f
f
o
i t dt V t
C
=

(2.9)


Como os valores dos capacitores C1, C2, C3, C4 devem ter valores iguais,
determinaremos que:

1 2 3 4

32

E, portanto:

( )
1
4.
1
0
1
1
( ) . .
c
o
f
i dt
C
V t t =

(3.0)

( )
2.
2
1
2
1
4.
1
. . ( )
c
c
f
f
o
V t i t dt
C
=


(3.1)

( )
4.
3
3
3
1
2.
1
. . ( )
c
c
f
f
o
V t i t dt
C
=

(3.2)

( )
1
4
3
4.
4
( )
1
. .
c
c
f
f
o
i V t dt
C
t =

(3.3)


Portanto, atravs das equaes (3.0), (3.1), (3.2) e (3.3) as tenses nas sadas
correspondentes para cada chave so determinadas, respectivamente:
Para a chave CH1, substituindo a equao (1.6) em (2.4), teremos:

( )
( ) ( )
1
. 1 . .cos .
C P
A m z t t
i t
R
( +

=
(3.4)

Substituindo a equao (3.4) na equao (3.0), teremos:

( ) ( )
2
0
1
.
. 1 . . cos .
1
. . ( )
c
P C
o
V t
A m z t t
dt
C R

( +

=

(3.5)

( )
( )
2.
1
0
. 1 .
. cos . . ( )
.
c
P
o C
A m z t
t dt
R
V
C
t

( +

=

(3.5)

A integral indefinida de uma funo cossenoidal dada por:

33

( )
1
cos . . .sin( . ) x dx x



( )
1
. 1 .
1 1
. .sin( . ) .sin( .0)
. 2.
( )
o C C
C C C
P
A m z
V
C
t
t
w w
R


( + ( | | | |

=
( | |
(
\ \
(3.5)

( )
1
. 1 .
1 1
. .sin( ) .sin(0)
. 2
( )
o
C C
P
A m z t
RC
V t


( + ( | | | |

=
( | |
(
\ \
(3.5)


Como:

( ) sin 1; sin 0 0
2
| |
= =
|
\


( )
1
. 1 .
.
)
1
. 0 (
o
P
C
A m z t
RC
V t

( +
(

=
(


(3.5)

( )
1
. 1 .
( )
. .
C
P
o
A m z t
t
RC
V

( +

=

(3.5)


Para a chave CH2, substituindo a equao (1.7) em (2.4), teremos:

( )
( ) ( )
2
. 1 . .sin .
C P
A m z t t
i t
R
( +

=
(3.6)

Substituindo a equao (3.6) na equao (3.1), teremos:

( ) ( )
2.
2
. 1 . .sin .
1
( ) . .
c
c
C
o
P
A m z t
t V t
t
d
C R

( +

=

(3.7)

( )
( )
2.
2
. 1 .
. sin . .
.
( )
c
c
o C
P
A m z t
t
C
V d
R
t t

( +

=

(3.7)

34

A integral indefinida de uma funo senoidal dada por:

( )
1
sin . . .cos( . ) x dx x



( )
2
. 1 .
1 1
. . ( . ) . ( . )
. .
(
2
)
o C C
C C C
P
C
V
A m z t
cos w cos w
R C
t


( + ( | | | |

=
( | |
(
\ \
(3.7)

( )
2
. 1 .
1 1
. . ( ) . ( )
. 2
( )
C
P
o
C
A m z t
co V s os
RC
t c


( + ( | | | |

=
( | |
(
\ \
(3.7)

Como:

( ) cos 0; cos 1
2

| |
= =
|
\


( )
2
. 1
(
.
)
.
1
. 0
o
C
P
A m z t
RC
V t

( + ( | |

=
( |
(
\
(3.7)

( )
2
. 1 .
( )
. .
C
P
o
A m z t
t
RC
V

( +

=

(3.7)

Para a chave CH3, substituindo a equao (1.8) em (2.4), teremos:

( )
( ) ( )
3
. 1 . .cos .
C P
A m z t t
i t
R
( +

=
(3.8)

Substituindo a equao (3.8) na equao (3.2), teremos:

( ) ( )
3
.
3
.
2
. 1 . .co
(
s .
1
) . .
c
c
C
o
P
A m z t t
d V t t
C R

( +

=

(3.9)

35

( )
( )
3
2
3
.
.
. 1 .
. cos . .
.
( )
c
c
o C
P
A m z t
t dt
RC
V t

( +

=

(3.9)


A integral indefinida de uma funo cossenoidal dada por:

( )
1
c . . .sin( . ) os x dx x



( )
3
. 1 .
1 3. 1
. .sin( . ) .sin( . )
. 2.
( )
o C C
C C C C
P
A m z t
w w
R C
V t


( + ( | | | |

=
( | |
(
\ \

(3.9)

( )
3
. 1 .
1 3. 1
. .sin( ) .sin( )
. 2
( )
P
o
C C
A m
R
t
z
V
t
C


( + ( | | | |

=
( | |
(
\ \

(3.9)


Como:

( )
3.
sin 1; sin 0
2

| |
= =
|
\


( )
3
( )
. 1 .
1
. 0
.
o
C
P
A m z t
RC
V t

( +
(

=
(

(3.9)

( )
3
. 1 .
.
)
.
(
o
C
P
A m z t
RC
V t

( +

=
(3.9)


Para a chave CH4, substituindo a equao (1.9) em (2.4), teremos:

( )
( ) ( )
4
. 1 . .sin .
C P
A m z t t
i t
R
( +

=
(4.0)

Substituindo a equao (4.0) na equao (3.3), teremos:

36

( ) ( )
2.
3.
.
4
2
. 1 . .sin .
( )
1
. .
c
c
C P
o
A m z t
t V t
t
d
C R

( +

=

(4.1)

( )
( )
2.
3.
2
4
.
. 1 .
. ( sin . .
.
)
c
c
o C
P
V t
A m z t
t dt
RC

( +

=

(4.1)

A integral indefinida de uma funo senoidal dada por:

( )
1
sin . . .cos( . ) x dx x



( )
4
. 1 .
1 2. 1 3.
. .cos( . ) ( .cos( . )
.
)
2.
o C C
P
C C C C
V t
A m z t
w w
RC


( + ( | | | |

=
( | |
(
\ \
(4.1)

( )
4
. 1 .
1 3. 1
. .cos( ) .cos(2. )
. 2
( )
C C
P
o
V t
A m z t
RC


( + ( | | | |

=
( | |
(
\ \
(4.1)

Como:

( )
3.
cos 0; cos 2. 1
2

| |
= =
|
\


( )
4
. 1 .
1
. 0
.
( )
P
o
C
A m z t
RC
V t

( + ( | |

=
( |
(
\
(4.1)

( )
4
. 1 .
.
)
.
(
o
C
P
A m z t
RC
V t

( +

=
(4.1)

As equaes (3.5), (3.7), (3.9) e (4.1) representam as quatro sadas do detector de
produto de Tayloe em funo do tempo, que nada mais so do que amostras do sinal de banda
base. Analisando a equao (3.5) e relao equao (3.9) podemos notar que os sinais de
entrada so defasados em 90, pois:
37

cos( ) sin( . )
2
C
t

=

O mesmo ocorre com respeito s equaes (3.7) e (4.1). Como cada sinal difere do
outro, respectivamente em 90, os sinais com defasagem de 180 podero ser somados,
acrescentando um ganho e resultando em dois novos sinais. Estes sinais resultantes so
denominados de sinal em fase (I) e sinal em quadratura (Q).
Ento, se somarmos diferencialmente as equaes (3.5) e (3.9), teremos o sinal em
fase (I). Da mesma forma, somando diferencialmente as equaes (3.7) e (4.1), teremos o
sinal em quadratura (Q).

( )
1 3
( ) ( )
o o
V t V t I t =
(4.2)

( )
( ) ( ) . 1 . . 1 .
. . . .
C C
P P
A m z t A m z t
I t
RC RC
| | | | ( ( + +

= | |
| |
\ \

(4.2)


( )
( ) ( ) . 1 . . 1 .
. . . .
C
P
C
P
A m z t A m z t
I t
RC RC
| | | | ( ( + +

= + | |
| |
\ \

(4.2)

( )
( ) . 1 .
2.
. .
P
C
A m z t
I t
RC
| | ( +

= |
|
\

(4.2)


( )
2 4
( ) ( )
o o
V t V t Q t =
(4.3)

( )
( ) ( ) . 1 . . 1 .
. . . .
C C
P P
A m z t A m z t
Q t
RC RC
| | | | ( ( + +

= | |
| |
\ \

(4.3)

( )
( ) ( ) . 1 . . 1 .
. . . .
C
P
C
P
A m z t A m z t
Q t
RC RC
| | | | ( ( + +

= + | |
| |
\ \

(4.3)

( )
( ) . 1 .
2.
. .
P
C
A m z t
Q t
RC
| | ( +

= |
|
\

(4.3)


Sabemos que:

2.
C
C
T

=
(4.4)

38

Portanto, substituindo a equao (4.4) nas equaes (4.2) e (4.3) respectivamente
teremos:

( ) ( ) . .(1 . )
. .
C
p
T
I t A m z t
RC
| |
= +
|
\
(4.5)
( ) ( ) . .(1 . )
. .
C
p
T
Q t A m z t
RC
| |
= +
|
\
(4.6)

Analisando os sinais ( ) I t e ( ) Q t podemos notar que estes representam nada mais do
que o sinal de banda base ( ) z t adicionado a uma componente contnua. Estes sinais em
quadratura podem ainda serem defasados separadamente, obtendo-se dois sinais iguais com
mesma fase. Se os mesmos forem somados, como resultado ter um nico sinal, no caso o
sinal de banda base desejado.


1.3.3. Largura de banda de deteco (banda base)


Um circuito RC, srie nada mais do que um filtro passa-baixa quando em regime
permanente de corrente alternada. Sua funo de transferncia[1] dada por:

( ) 1
( ) 1 . .
Y s
X s s R C
=
+


A freqncia de corte deste filtro determinada por:

( 3 )
1
( )
2. . .
dB
f Hz
RC

=
(4.7)


Como j mencionamos, a freqncia do sinal de banda base muito menor que a
freqncia do sinal da portadora. Como o perodo do chaveamento do circuito to pequeno,
39

para o sinal de banda base, em um perodo da portadora, podemos considerar que os quatro
capacitores C1, C2, C3 e C4 encontram-se em paralelo.
Portanto, como os quatro capacitores so iguais, como se estivssemos um novo
capacitor que assumir o valor:

1 2 3 4
resultante
C C C C C = + + +

Como:

1 2 3 4 C C C C C = = = =
Teremos que:

resultante
C C C C C = + + +
(4.8)

4.
resultante
C C =
(4.8)


Portanto, do ponto de vista do sinal da banda base podemos considerar que o circuito
resultante um circuito RC, em que a taxa de atenuao de 20 . A freqncia
de corte deste filtro, substituindo a equao (4.8) em (4,7) dada por:

( 3 )
1
( )
2. . .(4. )
dB
f Hz
R C

=
(4.9)


A largura de banda de um filtro dada por:

2 1 c c
BW f f =
(5.0)


Como o filtro do tipo passa-baixas:

2 ( 3 ) c dB
f f

=
(5.1)


2
1
2. . .(4. )
c
f Hz
R C
=

(5.1)
40

1
0
c
f Hz =
(5.2)


Portanto, substituindo as equaes (5.1) e (5.2) na equao (5.0) a largura de banda do
filtro para o sinal de banda base a ser demodulado determinado por:

2 1 c c
BW f f =
(5.0)

1
0
2. . .(4. )
BB
BW
R C
=
(5.3)

( )
1
2. . . 4.
BB
BW Hz
R C
=
(5.3)

1.3.4. Largura de banda de deteco (RF)


Do ponto de vista de radiofreqncia, como j mencionado anteriormente, o detector
de produto de Tayloe se comporta como um filtro passa-banda de alta seletividade. A Figura
10 ilustra idealmente a largura de banda de deteco do ponto de vista do sinal de banda base
e do ponto de vista de RF.



Figura 10 - Largura de banda de deteco do detector de produto de Tayloe.

41

O detector de produto de Tayloe pode ser analisado como um filtro de comutao
digital. Isso significa que ele opera como um filtro de alta seletividade e sua largura de banda
de deteco[8] dada por:

1
. . .
RF
BW Hz
n RC
=
(5.4)


Onde n o nmero de capacitores do detector. A seletividade do mesmo
determinada por:

C
RF
RF
f
Q
BW
=
(5.5)

Onde
C
f a freqncia do sinal a ser recebido, ou seja, a freqncia de comutao de
cada uma das chaves. Da Figura 12 podemos notar que a banda de deteco do detector de
Tayloe do ponto de vista de RF simplesmente o dobro da largura de banda do detector do
ponto de vista do sinal de banda base.
Vamos considerar que o detector de produto de Tayloe estar em um receptor
operando na freqncia de 10 MHz com largura de banda do sinal de banda base de 3kHz. O
resistor R ser representado pela impedncia da antena, por exemplo 50. Portanto, da
equao (5.3) que representa a largura de banda de deteco para o sinal de banda base,
acharemos o valor do capacitor C.

( )
1
( )
2. . . 4.
BB
BW Hz
R C
=
1
( )
2. . .4.
BB
C F
R BW
=
1
( )
2. .50.4.3000
C F

=
265 ( ) C n F

Da equao (5.4), a largura de banda de deteco de RF dada por:

42

1
. . .
RF
BW
n RC
=
6
RF
BW kHz

Como podemos observar, a largura de banda de deteco de RF nada mais do que a
translao no espectro de freqncia do filtro de banda base centrado na freqncia de
chaveamento de cada uma das chaves do detector, comprovando que:

2.
RF BB
BW BW =

Da equao (5.5), a seletividade deste detector dada por:

c
RF
RF
f
Q
BW
=
10
6000
RF
M
Q =
1670
RF
Q


Do valor encontrado para a seletividade do filtro podemos notar que o mesmo se
comporta com um filtro passa-banda de alta de alta seletividade, conforme mencionado
anteriormente.
43

2. Receptor de rdio AM para microcomputador


O sistema desenvolvido consiste de um receptor de rdio de converso direta para sinais
AM, operando em uma faixa de freqncias determinada (530kHz 1600kHz). Este receptor
de rdio foi desenvolvido utilizando o Detector de produto de Tayloe na etapa de converso
de freqncias seguindo determinadas tcnicas que o caracterizaro como um SDR.
Basicamente, um sistema de hardware responsvel pela parte de converso de freqncias,
sendo o processamento dos sinais e ajuste de freqncia realizada pelo microcomputador.


2.1. Diagrama de blocos do sistema


O sistema do receptor de rdio construdo com base em alguns princpios j utilizados
nos receptores tradicionais. Este sistema dotado de um filtro de entrada da antena, um
oscilador local dentre outros mais circuitos para tratamento dos sinais. O diagrama de blocos
do receptor mostrado na Figura 11.



Figura 11 - Diagrama de blocos geral do sistema.

44

2.1.1. Hardware do receptor


O hardware do sistema encarregado por toda a parte de converso de freqncia dos
sinais de entrada de RF. Como o receptor desenvolvido de converso direta, o mesmo
dever apresenta em sua sada o sinal de banda base desejado, sem a interveno de etapas
intermedirias no processo de converso de freqncias. O hardware composto de:

Filtro de entrada: o filtro de entrada do sistema tem a funo de atenuar os sinais de
rdio freqncia indesejado ao receptor. Idealmente, os sinais de rdio freqncia
desejados para este receptor correspondem a uma faixa determinada, ento o mesmo
deveria ter um filtro passa-banda na entrada da antena, porm, como a resposta em
freqncia do detector de Tayloe uma resposta passa banda, o mesmo possuir um
filtro passa-baixa, que desenvolve a funo de atenuar interferncias de sinais de
freqncias elevadas gerados pelo circuito de deteco.

Detector de Tayloe: o detector de produto de Tayloe tem a funo de demodular o
sinal de RF. O sinal de sada do detector o sinal de banda base desejado, sendo que,
o mesmo disponibiliza quatro sadas, defasadas respectivamente 90 uma da outra.

Amplificadores diferenciais: os amplificadores operacionais na configurao
diferencial tm a funo de subtrair os sinais vindos da sada do detector de Tayloe.
Na sada dos amplificadores diferenciais teremos duas sadas correspondentes
respectivamente ao sinal de banda base em fase (I) e a o sinal de banda base em
quadratura (Q).

Circuito defasador somador: este circuito tm a funo de defasar os sinais provindos
do circuito dos amplificadores somadores afim de torn-los dois sinais de mesma fase
e aps som-los, disponibilizando na sua sada um nico sinal analgico, de udio.

Oscilador Local: o oscilador local tem a funo de gerar o sinal de freqncia a ser
determinada para controle do chaveamento do detector de produto de Tayloe.

45

Microcontrolador (Firmware): o microcontrolador responsvel por receber dados
digitais provindos do microcomputador, interpret-los e ajustar a freqncia do
oscilador local para a freqncia desejada pelo usurio.
Conversor Paralelo/USB: este circuito responsvel por converter os dados digitais
provindos do microcontrolador de maneira paralela para sinais digitas dentro do
protocolo de comunicao USB.


2.1.2. Software e interface com o usurio


Como j mencionado anteriormente, o receptor desenvolvido tem caractersticas que o
designam como um SDR e, portanto toda a parte de processamento dos sinais vindo da placa
de hardware realizada pelo microcomputador. Em um SDR, os sinais em quadratura vindos
do hardware so injetados na entrada de udio no microcomputador e aps so digitalizados,
filtrados, demodulados e posteriormente disponibilizados em um sistema de udio.
Neste projeto, o sinal de banda base (udio) analgico provindo da placa de hardware
injetado a entrada de udio do microcomputador. Neste projeto, um aplicativo desenvolvido
em ambiente Windows processa este sinal da maneira adequada e disponibiliz-o na sada de
udio do mesmo. Este aplicativo tambm disponibiliza ao usurio final o ajuste da freqncia
de sintonia, sendo tudo realizado atravs do microcomputador e dos seus perifricos de
entrada e sada, que no caso sero o teclado, o mouse e ainda o sistema de udio e vdeo.
A comunicao entre o sistema de hardware do receptor com o microcomputador
realizada atravs do protocolo USB, pois atualmente existe um circuito integrado comercial
bastante utilizado fabricado pela FTDI (Future Technology Devices International) que
possibilita a comunicao a elevadas taxas de transmisso, sendo estas suficientes para o
projeto a ser desenvolvido.


2.2. Desenvolvimento do hardware



Neste item so apresentado
hardware da Figura 13. Para uma melhor compreenso,
dos circuitos projetados.
2.2.1. Circuito do filtro passa
O filtro de entrada do receptor
utilizados somente componentes discretos como capac
deste filtro na entrada do receptor
disso, foi escolhido um filtro passa
na banda passante por apresentar uma resposta praticamente plana na banda p
juntamente a uma boa atenuao das freqncias indesejveis.
na Figura 12.
Do circuito da Figura
e
2
R a resistncia de carga do circuito
descritas a seguir. A relao entre as resistncias dada por:
Os valores dos indutores e capacitores do circuito so dados por:
apresentados os circuitos projetados para cada um dos blocos da
Para uma melhor compreenso, juntamente esto anexad
iltro passa-baixas


O filtro de entrada do receptor um filtro passa-baixa do tipo passivo, onde so
utilizados somente componentes discretos como capacitores, indutores e resistores. A funo
ltro na entrada do receptor atenuar as freqncias indesejveis na recepo, em vista
disso, foi escolhido um filtro passa-baixa do tipo Chebyshev de 5 ordem com 0,5dB de ripple
por apresentar uma resposta praticamente plana na banda p
juntamente a uma boa atenuao das freqncias indesejveis. O circuito do filtro mostrado

Figura 12 - Circuito do filtro passa-baixas.


Figura 12 temos que
1
R representa a impedncia da antena do receptor
a resistncia de carga do circuito. As equaes[4] para dimensionamento deste filtro so
descritas a seguir. A relao entre as resistncias dada por:

1 2
. R r R =

s valores dos indutores e capacitores do circuito so dados por:

1
.
k k
c
R
L g

=
(5.6)

46
os circuitos projetados para cada um dos blocos da parte de
o anexadas simulaes
baixa do tipo passivo, onde so
itores, indutores e resistores. A funo
atenuar as freqncias indesejveis na recepo, em vista
do tipo Chebyshev de 5 ordem com 0,5dB de ripple
por apresentar uma resposta praticamente plana na banda passante
O circuito do filtro mostrado

resenta a impedncia da antena do receptor
para dimensionamento deste filtro so
s valores dos indutores e capacitores do circuito so dados por:
47

1
1
.
.
k k
c
C g
R
=
(5.7)


Onde
C
representa o valor da freqncia de corte do filtro em
rad
s
e
k
g so os
valores dos indutores e dos capacitores do filtro normalizados para:

1
1( ) r =
1( )
c
rad
s
=

A ordem do filtro representada por n . Os parmetros r e
n
g so obtidos da
comparao entra a funo de transferncia do circuito do filtro com as funes de filtragem
e, os seus valores so no caso filtros de Chebishev dados por:

Para n mpar = :

1 r =

Para 1 k = :

2.
k
k
a
g

=

Para 2,3, , k n = :

1
1 1
4. .
.
k k
k
k k
a a
g
b g


=

Onde, para 1, 2,3, , k n = :

( ) 2. 1 .
sin
2.
k
k
a
n
(
=
(


48

2 2
.
sin ( )
k
k
b
n

= +


sinh( )
2.n

=

Em diversos livros especficos sobre anlise de filtros, encontram-se tabelas com os
valores de
k
g para filtros com diferentes ordens, e diferentes valores de ripple na banda de
passagem, para fins de anlise de sua sntese. A Tabela 2 apresenta os valores de
k
g para
filtros de ordem 1 6 com 0,5dB de amplitude de ripple na banda passante.



Tabela 2 - Elementos normalizados do filtro tipo Chebyshev com 0,5dB.[12]


O receptor, como j mencionado, ir receber sinais AM com largura de banda de:

1600 530 BW kHz kHz =
1, 07 BW MHz =

Como o filtro ser do tipo passa-baixa, a freqncia de corte ser dada ento por:

1600
c
f kHz =

49

Como:
2. . ( )
C c
rad
f
s
=
Ento:

2. .1, 6 ( )
C
rad
M
s
=
10, 05 ( )
C
rad
M
s


Para o projeto do filtro, sabemos que:

1
50 R =
0, 5
p
A dB =
5 n =

Da Tabela 2:

1
1, 7058 g =
2
1, 2296 g =
3
2, 5408 g =
4
1, 2296 g =
5
1, 7058 g =

Como
1 5
g g = , da equao (5.7):

( )
1 5 6
1
. 1, 7058
50.10, 05 10
C C F
x
| |
= =
|
\

1 5
3, 4 C C nF =

( )
3 6
1
. 2, 5408
50.10, 05 10
C F
x
| |
=
|
\

3
5, 0 C nF

50


Como
2 4
g g = , da equao (5.6):

( )
4 2
50
. 1, 2296
10, 05
L L H
M
| |
= =
|
\

2 4
6,1 L L H =


Portanto, como os valores dos capacitores, indutores e capacitores calculados nem
sempre so valores aos quais os fabricantes disponibilizam. Em valores comerciais teremos:

1 2
50 R R = =
1 5
3, 3 C C nF = =
3
4, 7 C nF =
4
6, 2
s
L L H = =


Na Figura 25 presente no Anexo A temos a resposta em freqncia deste filtro
simulado no software Proteus, onde podemos notar uma alta atenuao das freqncias
indesejveis.


2.2.2. Circuito do detector de produto de Tayloe


O circuito do detector de produto de Tayloe feito utilizando-se chaves analgicas
como podemos observar na Figura 7. Cada uma das chaves ir permanecer fechada por um
quarto do perodo do sinal de RF ao qual queremos demodular, portanto, ser utilizado um
circuito integrado que possui internamente quatro chaves analgicas, e ainda, outro circuito
integrado que far o acionamento das chaves, sendo que este dever ter um sinal na sua
entrada quatro vezes maior que a freqncia do sinal de interesse, para fim de que cada chave
permanea fechada o tempo determinado pela freqncia do sinal a sua entrada.
51

O circuito integrado das chaves analgicas ser o 74HC4066[12] fabricado pela
PHILIPS, escolhido devido a algumas de suas caractersticas, onde vale citar seu baixo valor
da resistncia da chave quando fechada, pois esta estar em srie com a antena, e quanto
menor seu valor menor a perda por converso do detector. A Figura 13 mostra o smbolo
lgico das chaves deste circuito integrado juntamente a sua tabela funcional. As principais
razes para escolha deste circuito integrado so:

Baixa resistncia ON;
- 50 tpico para V
CC
= +4,5V;
- 45 tpico para V
CC
= +6,0V;
- 35 tpico para V
CC
= +9,0V;

Alimentao: V
CC
= -0,5V V
CC
= +11,0V;

Mxima variao de resistncia ON;
- 5 tpico para V
CC
= +4,5V;
- 4 tpico para V
CC
= +6,0V;
- 3 tpico para V
CC
= +9,0V;

Freqncia mxima de operao;
- 180 MHz para V
CC
=+4,5V, R
L
=50 e C
L
=10pF;
- 200 MHz para V
CC
=+9,0V, R
L
=50 e C
L
=10pF;

Mxima capacitncia da chave de 8pF;


52


Figura 13 - Smbolo lgico e tabela de acionamento do CI 74HC4066.

O circuito integrado utilizado para acionamento das chaves analgicas utilizado o
MC74HC4017N[14]
]
fabricado pela MOTOROLA. Este circuito integrado um contador de
dcadas que utiliza na sua arquitetura interna cinco estgios de contadores Johnson e os
decodifica promovendo uma operao em alta velocidade. A Figura 14 mostra o diagrama
lgico deste circuito juntamente a sua tabela funcional. Este circuito integrado foi escolhido
devido a algumas de suas caractersticas dentre as quais:


Sadas diretamente interfaceadas para CMOS, NMOS e TTL;
Alimentao: V
CC
= -0,5V V
CC
= +7,0V;
Alta imunidade a rudo de dispositivos CMOS;
Baixa corrente de entrada: 1 A;
Mxima freqncia de clock (50% duty cycle);
- 4 MHz para V
CC
=+2,0V e -55C<TAMB<25C

- 20 MHz para V
CC
=+4,5V e -55C<TAMB<25C

- 24 MHz para V
CC
=+6,0V e -55C<TAMB<25C


53


Figura 14 - Diagrama lgico e tabela funcional do CI 74HC4017N.


Generalizando, a caracterstica principal pela qual estes dois circuitos integrados
foram escolhidos a sua freqncia mxima de operao, pois este um fato determinante
para o projeto. A freqncia mxima de comutao a qual ser submetida cada uma das
chaves analgicas ser a prpria freqncia de sintonia do receptor e a freqncia mxima do
sinal TTL na entrada do CI para acionamento das chaves quatro vezes maior, ento,
teremos:

1600
tunning
f kHz =

4.1600
tayloe
f kHz =
6, 4
tayloe
f MHz =


Portanto, a freqncia mxima do sinal aplicado na entrada de controle da chave e na
entrada do CI para acionamento das chaves para a tenso de alimentao de +5V dada,
respectivamente por:

74 4066
180
HC
mx
f MHz
1, 6
mx
comutao
f MHz =
74 4066 mx HC
comutao mx
f f <
54

1, 6 180 MHz MHz <

74 4017
20
HC
mx
f MHz
1, 6
mx
sinal
f MHz =
74 4017 mx HC
sinal mx
f f <
6, 4 20 MHz MHz <

Estas relaes demonstradas acima deixam bem claro que o circuito no apresentar
problemas quando estiver operando na sua freqncia mxima de sintonia. A Figura 15 ilustra
o circuito do detector de produto de Tayloe.
55


Figura 15 - Circuito do detector de produto de Tayloe.


Como podemos ver, aps passar por um resistor que no caso representado pela
impedncia da antena o sinal de entrada ligado diretamente as entradas das quatro chaves,
em paralelo. Quando uma chave fechada o circuito resultante um circuito RC, passa-baixa,
e da equao (5.3) calcularemos o valor dos capacitores C1, C2, C3 e C4 para uma largura de
banda determinada.
Um sinal modulado em amplitude tem por definio que o sinal de banda base um
sinal de voz, ento, sua largura de banda ser determinada por:

4
BB
BW kHz =

Da equao (5.3), o valor dos capacitores C1, C2, C3 e C4 sero dados por:

( )
1
2. . . 4.
BB
BW Hz
R C
=
56

Onde o resistor dado pela impedncia de entrada da antena e ainda a resistncia da
chave quando q mesma encontra-se fechada (ON). Da folha de dados do circuito integrado
74HC4066 a resistncia que as chaves apresentam quando se encontram em estado ON :

50
switchon
R

Ento:

_
switchon
R R R ANTENA = +
50 50 R = +
100 R =

Portanto, o valor de C ser:

1
2. . .4.
BB
C F
R BW
=
1
2. .100.4.4000
C F

=

100 C nF


Sendo que:

1 2 3 4
C C C C C = = = =

O circuito integrado 74HC4066 ser alimentado com uma tenso de +5V. Na sua folha
de dados, temos que com uma alimentao unipolar, quando a chave estiver fechada, somente
passar sinais por ela que estejam compreendidos entre sua tenso de alimentao, portanto,
ser adicionada uma tenso DC ao sinal de entrada vindo da antena, para no ocorrer perda da
parte negativa deste sinal. O acionamento das chaves realizado pelo circuito integrado
74HC4017, que disponibiliza 10 sadas digitais, sendo que somente so utilizadas 4 destas
sadas e para isto utilizado o pino de master reset, que acionado quando ocorre mudando
de nvel lgico baixo para nvel lgico alto na sada Q4 do mesmo.
57

Como todo o circuito ser alimentado com a tenso de +5V, faremos um divisor
resistivo a fim de acrescentar essa tenso contnua na entrada da chave analgica. O circuito
dado pelos componentes: R1, R2, R3, C5 e C6. Os resistores R1 e R2 formaro um divisor
resistivo com o valor da metade da tenso de alimentao, sendo que os capacitores C5 e C6
tero a funo de estabilizar a tenso caso ocorra oscilaes na fonte de alimentao do
circuito sendo que a tenso gerada injetada nas entradas das chaves analgicas atravs do
resistor R3. Portanto, faremos com que:

1 2
6, 8 R R k = =
3
270 R =
5
22 C F =
6
100 C nF =


2.2.3. Circuito dos amplificadores diferenciais


A funo deste circuito realizar a subtrao de quatro sinais de banda base provindos
das quatro sadas do detector de produto de Tayloe. Essa soma realizada atravs de
amplificadores operacionais. Aps uma anlise de diversos circuitos integrados de
amplificadores operacionais, por diversos fatores o amplificador operacional utilizado ser o
circuito integrado NE5534[13] fabricado pela Philips Semiconductors. Suas principais
caractersticas so:

Tenso de rudo de entrada mxima de 4 ;
Ganho de tenso AC: 6000 10kHz;
Slew rate: 13V/s;
Tenso de alimentao: 3V 20V.


Na Figura 16 temos o circuito de um dos amplificadores somadores.
58


Figura 16 - Circuito de um amplificador diferencial.


Neste circuito, idealmente deveramos ter um resistor conectado ao terminal positivo
do amplificador operacional para ser considerado como um amplificador na configurao
diferencial. Como o ganho dos amplificadores deve ser ajustado devido ao fato dos sinais
disponibilizados pelo detector de Tayloe possurem um leve desbalanceamento, este corrigido
pelo ganho deste circuito, o valor destes resistores no presentes neste circuito deveriam
mudar seu valor conforme o ganho ajustado. Em virtude disso, o resistor desprezado, no
acarretando mudanas significativas no ganho do circuito.
Da equao geral do funcionamento dos amplificadores operacionais presente no
Anexo C:

0 1 2
. . 1 .
pp fn fn
i i
pi pp ni ni
R R R
V V V
R R R R
| | | |
| | | |
= + | |
| |
| |
+
\ \
\ \
(6.8)

Onde:
pp
R
lim 1
pp
pp
R
pi pp
R
R R

=
+


Como o sinal na entrada negativa est defasado 180 do sinal na entrada positiva,
podemos dizer que:

2 1 i i
V V =

59

0 1 1
. 1 ( ).
fn fn
i i
ni ni
R R
V V V
R R
| | | |
= +
| |
\ \

0 1
. 1 2.
fn
i
ni
R
V V
R
| |
= +
|
\


Portanto, o ganho do circuito como podemos ver na equao (6.9) dado por:

0
1
1
1 2.
fn
v
i ni
R
V
A
V R
= = +


Como o ganho deste circuito elevado e o mesmo determinado pela relao dos
resistores
fn
R e
ni
R :

1
fn
ni
R
R
>>

Portanto, em relao entrada
1 i
V e em relao
1 i
V e
2 i
V as sada do circuito da Figura
16 so determinado respectivamente por:

0 2
2. .
fn
i
ni
R
V V
R
| |

|
\
(6.9)
( )
0 1 2
.
fn
i i
ni
R
V V V
R
| |

|
\
(7.0)






60


Figura 17 - Circuito dos amplificadores diferenciais.


Os amplificadores operacionais tm alimentao unipolar como mostra a Figura 17,
pois o receptor ter disponvel somente este tipo de fonte de alimentao. O amplificador
operacional, quando alimentado com fonte de alimentao unipolar dever ter uma tenso
com o valor da metade da fonte de alimentao somado a entrada positiva, mas, como o sinal
de RF antes de demodulado pelo detector de produto de Tayloe j est com um off-set DC de
valor +2,5V, o mesmo torna-se desnecessrio.
Da Figura 17 temos dois amplificadores operacionais, sendo um destinado a somar
dois sinais (0 degrees-180 degrees) para formar o sinal em fase (I) e o outro destinado a somar
dois sinais (90 degrees-270 degrees) para formar o sinal em quadratura (Q). As sadas em fase
(I) e em quadratura (Q) so determinadas pela equao (7.0):

( )
0 1 2
.
fn
i i
ni
R
V V V
R
| |
=
|
\
(7.0)

.(0 180 )
in phase d
fn
ni
egrees degrees
R
R
V

=
(5.8)

.(90 270 )
quadrature d
fn
egrees
n
r e
i
deg e s
V
R
R
=
(5.9)

61

Como:

180 0
degrees degrees
=
(6.0)

270 90
degrees degrees
=
(6.1)

Substituindo as equaes (6.0) e (6.1) respectivamente nas equaes (5.8) e (5.9):

.(0 ( 0 ))
in phase d
fn
ni
egrees degrees
R
V
R

=
(6.2)

.(0 0 )
in phase degrees degree
fn
ni
s
R
V
R

= +
(6.2)

2. .(0 )
fn
in phase deg
ni
rees
V
R
R

=

(6.2)


.(90 ( 270 ))
quadrature d
fn
n
egrees degrees
i
R
R
V =
(6.3)

.(90 90 )
quadrature degrees
fn
ni
degrees
R
R
V = +
(6.3)

2. .(90 )
fn
quadrature deg ees
ni
r
V
R
R
=
(6.3)


Das equaes (6.2) e (6.3) podemos ver que so gerados os dois sinais em quadratura
e, alm do ganho proporcionado pelos resistores
fn
R e
ni
R este circuito apresenta ainda um
ganho de valor igual a dois, pois estamos somando diferencialmente dois sinais defasados de
180. Os valores de
fn
R ,
ni
R e
fn
C determinaro o ganho necessrio para termos na sada
destes amplificadores um sinal com amplitude ideal para o processamento digital que ocorrer
no microcontrolador.



62

2.2.4. Circuito deslocador de fase e somador


A funo deste circuito defasar os sinais provindos do circuito dos amplificadores
somadores de tal maneira a que os mesmos possam ser somados, resultando em um nico
sinal. O amplificador operacional utilizado no circuito de deslocamento de fase o
TL074[17]
]
fabricado pela ST Microeletronics, e o mesmo foi escolhido devido a algumas
caractersticas vantajosas que o mesmo possui em relao a outros operacionais do mercado
se destacando o fato deste circuito integrado possuir quatro amplificadores operacionais
internamente. Para o circuito somador foi utilizado o amplificador operacional NE5534
descrito no captulo 2.2.3. As principais caractersticas do circuito integrado TL074 so:

Baixo rudo 15 (tpico);
Entradas J-Fet de alta impedncia;
Alto slew rate: 13V/s;
Tenso de alimentao: 18V.

Na Figura 18 temos o circuito de deslocamento de fase.



Figura 18 - Circuito de deslocamento de fase.
63

Este circuito constitudo da associao de filtros passa-tudo, que so subdivididos
em duas redes, de forma que o nvel de tenso de suas sadas pemaneam constantes, variando
somente as suas fases, uma em relao outra, resultando em uma defasagem de 90 entres os
sinais de sada
1 o
V e
2 o
V . A fim de minimizar o erro de fase entre as duas redes e melhorar o
desempenho destes filtros um nmero de sees so cascateados de tal maneira que as
duas redes sigam cada uma com uma faixa de freqncia de interesse distinta a fim de
manter a amplitude constante. Considerando
in
V um sinal senoidal:

( ) sin( . )
in
V t t =

Fasorialmente:

0
in
V

A defasagem dos sinais de sada
1
( )
o
V t e
2
( )
o
V t em relao ao sinal de entrada ( )
in
V t
desconhecida, porm conhecida a defasagem entre os dois sinais de sada, como de
interesse. Portanto, os sinais de sada de forma fasorial e temporal respectivamente so:

1

o
V
1
( ) sin( . )
o
V t t = +
2
90
o
V +
1
( ) sin( . 90 )
o
V t t = +

Os sinais provindos do circuito dos amplificadores somadores podem ser considerados
como um mesmo sinal, pois possuem amplitudes iguais, porm com fases diferentes. Estes
sinais em quadratura podem ser representados temporalmente por:

0 0
( ) cos( . ) V t t =

90 0
( ) sin( . ) V t t =

Fasorialmente, representando os dois sinais com um nico sinal somente com fase
diferente:

0 0
( ) cos( . ) V t t =


0
V

64


90 0
( ) sin( . ) V t t =


0
90 V +

Portanto, conforme a Figura 18 se injetarmos o sinal
0
( ) V t na rede de deslocamento
superior e o sinal
90
( ) V t na rede de deslocamento inferior teremos:

1 0

o
V V =
2 0 0
90 90 180
o
V V V = + + = +

Como os sinais
1 o
V e
2 o
V tem defasagem de 180 um do outro, podemos dizer que:

1 0

o
V V =
2 0

o
V V =

Estes dois sinais ento so somados diferencialmente pelo circuito somador,
resultando em um sinal com o dobro da amplitude, ou seja, incrementando um ganho 2 a este
circuito. O circuito deslocador de fase e somador e mostrado da Figura 19.



Figura 19 - Circuito deslocador de fase e somador.
65

O circuito implementado mostrado na Figura 19 apresenta duas sees, cada uma com
dois filtros cascateados resultando em 4 n = , sendo to menor o erro de fase entre os dois
sinais de sada quanto maior a ordem do filtro, representado por n . Na literatura tcnica
adota-se 10 R k = com preciso de 1%. Com este valor para Ro circuito apresenta ganho
unitrio e ento necessrio determinar as constantes de tempo determinadas por
1 1
. R C ,
2 2
. R C ,
3 3
. R C e
4 4
. R C . Para se determinar esses valores foi elaborado um programa no
software Mathematica da Wolfram Research (Anexo D) pelo professor orientador.
A versatilidade do programa permite fazer a estimativa dos valores das constantes de
tempo para rede de diferentes ordens. De posse dos valores das razes e das constantes,
atribui-se valores aos capacitores para se determinar os valores dos resistores ou vice-versa.
O programa disponibiliza razes positivas e negativas, sendo as positivas correspondentes a
uma rede e as negativas a outra. Como o sinal de entrada um sinal de voz, a largura de
banda do circuito de deslocamento de fase e a ordem do filtro respectivamente so
determinadas por:

1
200 f Hz =
2
5 f kHz =
4 n =

Os valores das razes disponibilizadas pelo programa:

1
11496,1 P =
2
763,41 P =
3
-51713,4 P =
4
-3434,08 P =

Portanto, adotando
1 2 3 4
10 R R R R k = = = = os capacitores so determinador por:

1
1 1
1 1
8, 7
. 10 .11496,1
C nF
R P k
= =
2
2 2
1 1
130
. 10 .763,41
C nF
R P k
= =
66

3
3 3
1 1
1, 9
. 10 .51713,4
C nF
R P k
= =
4
4 4
1 1
29
. 10 .11496,1
C nF
R P k
= =

O circuito somador um amplificador operacional na configurao diferencial. Como
o ganho do mesmo unitrio:

13 14 15
10 R R R k = = =

Como a alimentao do circuito unipolar, na entrada positiva do amplificador
operacional devemos acrescentar uma tenso contnua no valor da metade da fonte de
alimentao para fins de polarizao. Deste modo, foi utilizado um divisor resistivo, onde a
impedncia em corrente alternada deve ser exatamente igual ao valor de
15
R , portanto:

16 17
20 R R k = =

A impedncia equivalente em regime de corrente alternada:

16 17
16 17
. 20 .20
10
20 20
eqAC
R R k k
R k
R R k k
= = =
+ +


O capacitor
8
C limita o ganho do circuito em alta freqncia, desempenhando o papel
de um filtro. A largura de banda do circuito de 5kHz, ento:

8
15
1 1
3, 2
2. . . 2. .5 .10
c
C nF
f R k k
= = =





67

2.2.5. Circuito do oscilador local


A funo do circuito do oscilador local gerar um sinal de freqncia quatro vezes
maior que o sinal da portadora desejada na recepo e injet-lo no circuito integrado
74HC4017 que far o correto acionamento das quatro chaves do detector de produto de
Tayloe. O circuito integrado utilizado para este fim o AD9833[16], fabricado pela Analog
Devices.
Este circuito integrado um DDS (Direct Digital Synthesis). O mesmo requer um
clock de referencia de at 25 MHz, um resistor de baixa preciso e capacitores de
desacoplamento para disponibilizar sinais gerados digitalmente, com freqncia de at 12,5
MHz. Adicionalmente, para gerar estes sinais de RF, este circuito integrado plenamente
capaz de gerar uma gama de simples e complexos esquemas de modulao. Estes esquemas
de modulao so totalmente implementados no domnio digital, permitindo precisas e
simples realizaes da modulao de complexos algoritmos utilizando tcnicas dos
processadores digitais de sinais. O circuito interno do AD9833 consiste das seguintes sees
principais:

Oscilador controlado numericamente + moduladores de fase: Essa seo consiste
de dois registradores de seleo de freqncia, um acumulador de fase, dois
registradores de offset de fase e um somador de offset de fase. O principal
componente do NCO (Numerical Controlled Oscillator) um acumulador de fase
de 28 bits que monta a componente de fase do sinal de sada. Sinais contnuos no
tempo tem uma faixa de variao de fase que varia entre 0 e 2. Fora desta faixa
de nmeros, uma funo senoidal repete-os de maneira peridica. Na
implementao digital no diferente. O acumulador simplesmente escalona a
faixa de fase dentro de uma palavra digital. O acumulador de fase no AD9833
implementado com 28 bits, sendo assim,
28
2 2 = . Da mesma forma, o termo
escalonado dentro de uma faixa que varia de
28
0 2 1
phase
< < .
Portanto, a freqncia do sinal de sada determinada por;

28
.
2
phase MCLK
f
f

=
68

A entrada para o acumulador de fase pode ser selecionada pelos registradores
FREQ0 e FREQ1 sendo estes controlados pelo bit FSELECT. Estes osciladores
controlados numericamente geram inerentemente sinais fase continua evitando
assim qualquer descontinuidade quando se altera o valor da freqncia destes
sinais. Seguinte ao NCO, um offset de fase pode ser adicionado para realizar
modulao de fase, utilizando registradores de fase de 12 bits. O contedo de um
desses registradores adicionar valores de fase nos mais significativos bits do
NCO. O AD9833 possui dois registradores de fase, e a resoluo desses
registradores de 2 4096.

SIN RON: para fazer a sada de um NCO ser til, ela deve ser convertida para uma
informao de fase dentro de um valor senoidal. Desde que a informao de fase
mapeie diretamente na amplitude, o SIN ROM usa a informao de fase digital
como um endereo para uma tabela, e converte essa informao em amplitude.
Apesar de o NCO conter um acumulador de fase de 28 bits, a sada do NCO
truncada em 12 bits. Usando a mxima resoluo do acumulador de fase
impraticvel e desnecessrio uma vez que iria requerer uma tabela com 2


entradas. necessrio apenas ter suficiente resoluo de fase tal que os erros do
truncamento sejam menores que a resoluo do DAC de 10 bits. Isso requer que o
SIN ROM tenha apenas dois bits de resoluo de fase a mais que o DAC de 10
bits.

Conversor analgico para digital (DAC): o AD9833 tem um conversor digital
para analgico de 10 bits de fonte de corrente de alta impedncia. O DAC recebe
as palavras digitais do SIN ROM e converte-as dentro das tenses analgicas
correspondentes. O DAC configurado para operao single-ended. Nenhum
resistor de carga requerido, pois o dispositivo possui um resistor de 200 ()
internamente. O DAC gera uma tenso de sada tpica de 0,6Vpp.

Regulador: VDD provm de uma fonte de alimentao requerida para a seo
analgica e para a seo digital do AD9833. Essa fonte de alimentao pode ter
valores de +2,3V +5,5V. A seo digital interna opera a +2,5V. Um regulador
interno regula a tenso aplicada em VDD para +2,5V. Quando uma tenso
aplicada no pino VDD do AD9833 igual ou menor que +2,7V, o pino CAP/2.5V
69

e o pino VDD devem ser ligados juntos deste modo contornando o regulador
interno.

A descrio funcional deste circuito integrado dada em uma interface serial. O
AD9833 tem uma interface serial de 3 pinos, que compatvel com os protocolos de
comunicao SPI, QSPI, MICROWIRE e DSP. O dado carregado no dispositivo como uma
palavra digital de 16 bits sob o controle de um clock de entrada serial, SCLK. O diagrama
temporal de comunicao dado na Figura 20.



Figura 20 - Diagrama temporal do protocolo de comunicao SPI.


Os registradores de controle do AD9833 so registradores de 16 bits. Todos os bits de
controle, exceto o bit MODE, so amostrados na borda negativa do sinal de MCLK. Na
Tabela 3 temos a descrio individual de cada um dos bits dos registradores de controle.


Bit: Nome: Funo:
D15 D15 Deve ser zero para alterar o contedo dos registradores de controle. Para
outras operaes indica o registrador ao qual ser quer alterar.
D14 D14 Deve ser zero para alterar o contedo dos registradores de controle. Para
outras operaes indica o registrador ao qual ser quer alterar.
D13 B28 Duas operaes so requeridas para carregar completamente uma palavra
dentro dos registradores de freqncia.
B28=1 permite uma palavra completa para ser carregada em um registrador
de freqncia em duas escritas consecutivas. A primeira escrita contm o
14 bits LSB do registrador de freqncia e a prxima escrita contm os 14
bits MSB. Os primeiros 2 bits de cada palavra de 16 bits define o
70

registrador de freqncia ao qual ser carregado, e deveria ser o mesmo
para as duas escritas.
Quando B28=0 o registrador de freqncia de 28 bits opera como 2
registradores de 14 bits, um contendo os MSB e os outro contendo os LSB.
Isso significa que os 14 bits MSB podem ser alterados independentemente
dos 14 bits LSB e vice e versa. Para alterar os 14 bits MSB ou os 14 bits
LSB uma simples escrita deve ser feita para o endereo do correto
registrador de freqncia. O bit de controle D12 (HLB) informa para o
AD9833 se os bits a serem alterados so os 14 MSB ou os 14 LSB.
D12 HLB Esse bit de controle permite ao usurio carregar continuamente os bits MSB
ou LSB do registrador de freqncia ignorando os 14 bits restantes. Este bit
til se no for necessrio os 28 bits de resoluo. O bit HLB usado em
conjunto com o bit D12 (B28). Este bit de controle indica se os 14 bits a
serem carregados sero transferidos para os 14 MSB ou para os 14 LSB do
registrador de freqncia. D13 (B28) deve ser setado para 0 para poder
carregar os 14 bits MSB e LSB separadamente. Quando D13(B28)=1, esse
bit de controle ignorado.
HLB=1 permite uma escrita para os 14 MSB do registrador de freqncia.
HLB=0 permite uma escrita para os 14 LSB do registrador de freqncia.
D11 FSELECT O bit FSELECT define se o registrador acumulador de fase usado ser o
FREQ0 ou o FREQ1.
D10 PSELECT O bit PSELECT define se o dado do registrador PHASE0 ou do registrador
PHASE1 adicionado na sada do acumulador de fase.
D9 Reservado Esse bit deve ser setado para 0.
D8 RESET RESET=1 reseta os registrador internos para 0, que corresponde a uma
sada analgica no meio da escala.
RESET=0 desabilita o reset.
D7 SLEEP1 Quando SLEEP1=1 o clock interno MCLK desabilitado. A sada do DAC
permanecer com o valor presente, pois o NCO no acumula mais.
Quando SLEEP1=0 o clock interno MCLK est habilitado.
D6 SLEEP12 Quando SLEEP12=1 o DAC desabilitado. Isto til quando o AD9833
usado como sada o MSB de dado do DAC.
SLEEP12=0 implica que o DAC est ativo.
D5 OPBITEN A funo deste bit, em conjunto com o bit D1 (MODE), de controlar qual
ser a sada no pino VOUT.
Quando OPBITEN=1 a sada do DAC no est disponvel no pino VOUT.
71

Por outro lado, o MSB (ou MSB/2) do DAC conectado para o pino
VOUT. Isso usado como fonte de clock. O bit DIV2 controla se a sada
ser MSB ou MSB/2.
Quando OPBITEN=0 o DAC conectado a sada VOUT. O bit MODE
determina ser da forma senoidal ou rampa o sinal de sada disponvel.
D4 Reservado Esse bit deve ser setado para 0.
D3 DIV2 DIV2 usado em conjunto com o bit D5 (OPBITEN.
Quando DIV2=1, o MSB do dado do DAC ligado diretamente para o pino
VOUT.
Quando DIV2=0, o MSB/2 do dado do DAC disponibilizado no pino
VOUT.
D2 Reservado Esse bit deve ser sempre 0.
D1 MODE Esse bit usado em conjunto com o bit OPBITEN (D5). A funo desse bit
controlar que sada ter no pino VOUT quando o DAC do circuito
integrado conectado a sada VOUT.
Quando MODE=1, o SIN ROM contornado, resultando em um sinal
rampa na sada do DAC.
Quando MODE=0, o SIN ROM usado para converter a informao de
fase dentro da informao de amplitude que resulta em um sinal senoidal na
sada VOUT.
D0 Reservado Esse bit deve ser sempre 0.
Tabela 3 - Descrio dos bits dos registradores de controle.


O AD9833 possui dois registradores de freqncia e dois registradores de fase,
definidos respectivamente por FREQ0, FREQ1, PHASE0 e PHASE1. A sada analgica do
AD9833 ento, dada por:

28
.
2
MCLK
out
f
f FREQREG =

Onde FREQREG o valor carregado dentro do registrador de freqncia selecionado.
Este sinal ser deslocado em fase por:

72

2.
.
4096
out
p PHASEREG

=


Onde PHASEREG o valor carregado no registrador de fase selecionado. Na Tabela 4
temos a descrio dos registradores de freqncia e fase.

Registrador Tamanho Descrio
FREQ0 28 bits Registrador de freqncia zero. Quando o bit FSELECT=0, este
registrador define a freqncia de sada como uma frao da
freqncia de MCLK.
FREQ1 28 bits Registrador de freqncia um. Quando o bit FSELECT=1, este
registrador define a freqncia de sada como uma frao da
freqncia de MCLK.
PHASE0 14 bits Registrador de offset de fase zero. Quando PSELECT=0, o
contedo desse registrador adicionado sada do acumulador de
fase.
PHASE1 14 bits Registrador de offset de fase um. Quando PSELECT=1, o contedo
desse registrador adicionado sada do acumulador de fase.
Tabela 4 - Registradores de freqncia e fase.


Quando escrevermos em um registrador de freqncia, os bits D15 e D14 so o
endereo do registrador de freqncia. Na Tabela 5 temos a descrio dos bits dos
registradores de freqncia.


D15 D14 D13 D0
0 1 MSB 14FREQ0REGBITS LSB
1 0 MSB 14FREQ1REGBITS LSB
Tabela 5 - Bits dos registradores de frequncia.


Se o usurio quer alterar o contedo de um registrador de freqncia, duas escritas
consecutivas para o mesmo endereo devem ser executadas, pois os registradores de
73

freqncia tm resoluo de 28 bits, sendo que as primeiras escritas contem os 14 LSB e a
segunda os 14 MSB. Neste modo de operao o bit B28 (D13) deve ser setado para 1.
Quando escrevermos em um registrador de fase, os bits D15 e D14 so setados para
1 e o bit D13 identifica qual dos registradores de fase ser carregado, pois os registradores
de fase tm resoluo de 14 bits. Na Tabela 6 temos a descrio dos bits dos registradores de
fase.


D15 D14 D13 D12 D11 D0
1 1 0 X MSB 12PHASE0BITS LSB
1 1 1 X MSB 12PHASE1BITS LSB
Tabela 6 - Bits dos registradores de fase.


O AD9833 dispe de uma variedade de sinais de sada, sendo todas disponibilizadas
no pino VOUT. Os bits OPBITEN (D5) e MODE (D1) do registrador de controle so usados
para definir que sada estar disponvel no pino VOUT. Na Tabela 7 temos os bits que devem
ser configurados e os sinais de sada correspondentes.


OPBITEN
Bit
MODE
Bit
DIV2
Bit
VOUT
Pin
0 0 X Senoidal
0 1 X Up/down rampa
1 0 0 Dado DAC MSB/2
1 0 1 Dado DAC MSB
1 1 X Reservado
Tabela 7 - Sadas correspondentes aos bits de controle.

Inicialmente, quando o circuito integrado alimentado, o mesmo dever ser
inicializado sendo possvel, somente depois de isso ter algum sinal disponvel em sua sada.
Aps a sua inicializao poderemos mudar somente os valores dos registradores de freqncia
e fase e os mesmos ajustaro o sinal de sada. Na Figura 21 e 22 temos respectivamente os
fluxogramas de inicializao e de escrita no AD9833.
74


Figura 21 - Fluxograma de inicializao do AD9833.




Figura 22 - Fluxograma de escrita no AD9833.

O circuito do oscilador local utilizando o circuito integrado AD9833 mostrado na
Figura 23. A entrada MCLK entrada do clock de referncia para o AD9833, sendo que
este sinal ser gerado atravs de um mdulo oscilador de freqncia
FSYNC, SCLK, SDATA so os pinos de comunicao,
microcontrolador. O sinal de sada 4xFc o sinal interesse que
clock injetado diretamente a entrada do circuito integrado 74HC4017, responsvel pelo
acionamento das chaves do
2.2.6. Circuito do microcontrolador
O microcontrolador desempenha um papel fun
responsvel pela sintonia d
recebe informaes via comunicao SPI
microcomputador, atravs de um software desenvolvi
microcontrolador, que interpreta
valor correspondente. Quando o usurio desejar alterar a freqncia de
micromputador envia o dado para o microcon
onde efetua essa mudana.
O circuito do oscilador local utilizando o circuito integrado AD9833 mostrado na
A entrada MCLK entrada do clock de referncia para o AD9833, sendo que
atravs de um mdulo oscilador de freqncia de
FSYNC, SCLK, SDATA so os pinos de comunicao, que so
microcontrolador. O sinal de sada 4xFc o sinal interesse que corresponde a
ente a entrada do circuito integrado 74HC4017, responsvel pelo
acionamento das chaves do detector de Tayloe.


Figura 23 - Circuito do oscilador local.


Circuito do microcontrolador


O microcontrolador desempenha um papel fundamental no circuito do receptor, pois
sintonia do mesmo. Esta realizada pelo oscilador local, sendo que este
recebe informaes via comunicao SPI do microcontrolador com o AD9833. O
microcomputador, atravs de um software desenvolvido para este propsito envia dados ao
interpreta-os e ajusta a freqncia do sinal de sada do AD9833
valor correspondente. Quando o usurio desejar alterar a freqncia de
micromputador envia o dado para o microcontrolador, que entra numa rotina de interrupo

75
O circuito do oscilador local utilizando o circuito integrado AD9833 mostrado na
A entrada MCLK entrada do clock de referncia para o AD9833, sendo que
de 25MHz. As entradas
interfaceados com o
corresponde a um sinal de
ente a entrada do circuito integrado 74HC4017, responsvel pelo

damental no circuito do receptor, pois
realizada pelo oscilador local, sendo que este
do microcontrolador com o AD9833. O
do para este propsito envia dados ao
e ajusta a freqncia do sinal de sada do AD9833 para o
valor correspondente. Quando o usurio desejar alterar a freqncia de sintonia, o
trolador, que entra numa rotina de interrupo
76

O microcontrolador utilizado o PIC18F2431
[15]
, designado de Microcontrolador
Flash, 28 pinos com tecnologia nano Watt, PWM e A/D de alto desempenho. Abaixo temos
algumas das caractersticas principais deste circuito integrado que levaram a sua utilizao.

Conversor A/D de 10 bits de alta velocidade e 200 Ksps;
- At nove canais
- Amostragem simultnea de dois canais
- Amostragem seqencial de 1, 2 ou 4 canais selecionados
- Capacidade de auto-converso
- FIFO de 4 palavras com interrupo de freqncia selecionvel
- Triggers de converso externos selecionveis
- Tempo de aquisio programvel

Flexvel estrutura do oscilador;
- Quatro modos para cristal at 40 MHz
- Dois modos de clock externo at 40 MHz

Memria de programa de 16kbytes;

Memria de dados de 768bytes (SRAM) +256bytes (EEPROM);

Na Figura 24 e na Tabela 8 temos respectivamente o circuito do microcontrolador e a
descrio de cada um dos pinos do microcontrolador utilizados. O cristal externo utilizado
um cristal de freqncia igual a 10MHz. Como o microcontrolador possui internamente um
mdulo PLL (phase locked-loop), este multiplica a freqncia do clock externo por um valor
determinado. Sendo assim, para trabalhar-se com a freqncia mxima do dispositivo que
40 MHz, este mdulo interno deve multiplicar por quatro o valor da freqncia do clock do
cristal externo. O resistor R1 juntamente com os capacitores C4 e C5 mais o push-botton
formam o circuito de reset do microcontrolador.


77


Figura 24 - Circuito do microcontrolador.


Nome Direo dados Descrio
SCLK Sada Sinal de clock para comunicao SPI.
SDATA Sada Sada de dados para comunicao SPI.
FSYNC Sada Bit de sincronismo para comunicao SPI.
D0 ~ D7 Entrada/Sada Entradas e sadas de dados para o conversor
serial/USB.
RD# Sada Bit de controle do conversor serial/USB.
WR Sada Bit de controle do conversor serial/USB.
TXE# Entrada Bit de controle do conversor serial/USB.
RXF# Entrada Bit de controle do conversos serial/USB.
Tabela 8 - Descrio dos pinos utilizados do microcontrolador.


2.2.7. Circuito conversor Paralelo/USB


O ajuste de sintonia do receptor feito atravs de um microcomputador em um
software especfico. Como meio de comunicao entre hardware e software foi utilizado o
protocolo USB, sendo este realizado atravs do circuito integrado FT245BL
[18]
fabricado pela
FTDI (Future Technology Device Intl.) que conversor de dados paralelo-USB.
O FT245BL implementa um FIFO de leitura e escrita atravs de uma porta
bidirecional de 8 bits. A escolha deste dispositivo no requer a utilizao de memrias para
armazenamento dos dados a serem enviados para o PC uma vez que este CI possui um buffer
FIFO de transmisso de 384 bytes e um FIFO de recepo de 128 bytes.
78

Para o desenvolvimento da aplicao no microcomputador pode ser utilizado os
drivers disponibilizados pelo fabricante. Existem dois tipos de drivers sendo um chamado de
VCP (virtual com port) onde o microcomputador interpreta como uma porta srie COM
virtual. O outro driver chamado de D2XXX em que o microcomputador se comunica com o
mesmo via biblioteca dinmica (DLL) e neste caso necessrio conhecer-se as especificaes
das funes implementadas pela DLL. As taxas de transmisso variam conforme o driver
utilizado, chegando a valores de at 1 Megabyte por segundo utilizando o driver D2XXX.
O ciclo de leitura, conforme diagrama temporal mostrado na Figura 25 realizado
quando dados so enviados do microcomputador. A recepo destes dados s pode ser feitas
quando o bit de controle RXF=0, o que indica que o buffer de recepo tem dados para serem
lidos no FIFO de recepo. Para leitura deste dado necessrio um pulso de descida (nvel
lgico alto para nvel lgico baixo) no bit de controle RD, ficando os 8 bits de dados
disponveis no barramento em D0...D7.



Figura 25 - Diagrama temporal de leitura no FT245BL.



O ciclo de escrita, conforme diagrama temporal mostrado na Figura 26 realizado
quando se quer enviar dados ao microcomputador. O envio dos dados s pode ser feito se o
bit de controle TXE=0, o que indica que o buffer de transmisso no est cheio. Para os dados
serem enviados, aps coloc-los no barramento D0...D7 basta um pulso de subida (nvel
lgico baixo para nvel lgico alto) no bit de controle WR, fazendo com que este dado fique
guardado no buffer de transmisso do FT245BL.


79


Figura 26 - Diagrama temporal de escrita no FT245BL.


Para serem iniciados os ciclos de leitura e escrita a aplicao do microcomputador
deve primeiramente testar se o dispositivo USB est ligado. Aps, o dispositivo deve ser
resetado, a porta COM fica aberta e as variveis so inicializadas. Os buffers de transmisso e
recepo devem ser esvaziados e as taxas de transmisso devem ser definidas assim como os
respectivos tempos limite. Somente depois da realizao destes passos a aplicao est apta a
se comunicar com o dispositivo.
No projeto optou-se por trabalhar com os drivers diretos, ou seja, o D2XXX que se
comunica via DLL sendo utilizadas as funes mostradas no guia do programador
[11]
tambm
disponibilizado pelo fabricante do circuito integrado. O circuito externo ao FT245 dotado de
alguns capacitores, resistores e indutores, tal qual mostrado na Figura 27. O circuito integrado
93C46A (opcional) uma memria EEPROM serial de 16 bits e 1kbyte, sendo esta para
gravao do nmero de srie, descrio do dispositivo dentre outras aplicaes.




80


Figura 27 - Circuito conversor Paralelo/USB.



2.3.Desenvolvimento do software

A funo do aplicativo desenvolvido em ambiente Windows controlar a freqncia
de sintonia do receptor de rdio. O software em questo foi desenvolvido em linguagem de
programao C++ em um ambiente de desenvolvimento integrado chamado de Borland C++
Builder, que uma ferramenta de programao visual orientada a objetos.
O aplicativo possui somente uma tela de controle como podemos ver na Figura 28.
Neste aplicativo possvel abrir e fechar a porta de comunicao USB tal como controlar
algumas funes bsicas em um receptor, como a freqncia de sintonia, memria de estaes
dentre outras descritas no item 2.3.1. No ANEXO E temos o cdigo do aplicativo.


81


Figura 28 - Tela do software do receptor AM.


2.3.1. Descrio do software de controle do receptor


Como mostrado na Figura 26, a tela de controle do aplicativo dispe de algumas
funes de controle e outras de visualizao. Como complemento o aplicativo disponibiliza
hora e dia atual. Na tela principal temos:

Scope: disponibiliza ao usurio a forma de onda do sinal de banda base recebido,
que est sendo injetado a placa de som do microcomputador;

udio input: boto utilizado para selecionar o dispositivo de udio presente no
microcomputador;

Record: boto utilizado para iniciar gravao do sinal de udio que ser
recebido. O mesmo gravado em um arquivo do tipo WAVE;

Play: boto que disponibiliza o sinal de udio no objeto scope tal como na sada
de udio do microcomputador;

82

Stop: boto que finaliza a recepo do sinal de udio tanto no objeto scope como
na sada de udio do microcomputador;

Cutoff: disponibiliza ao usurio diferentes freqncias de corte de uma filtro
passa-baixas aplicado ao sinal de udio injetado ao microcomputador, podendo
melhorar a qualidade do sinal recebido;

Gain: disponibiliza ao usurio aumentar o ganho do sinal de udio recebido,
ajustando o mesmo da melhor maneira a ser ouvido;

Spacing: disponibiliza ao usurio diferentes valores de saltos de freqncia, que
podem ser alterados para um ajuste mais fino da freqncia de sintonia;

Stations: permite ao usurio gravar na memria do microcomputador as estaes
de rdio preferidas;

AM-E: estes botes ajustam a freqncia de sintonia do receptor conforme
estao gravada nos espaos de Stations, sendo:
- AM-E1: ajusta a freqncia de sintonia do receptor para o valor do Edit
correspondente;
- AM-E2: ajusta a freqncia de sintonia do receptor para o valor do Edit
correspondente;
- AM-E3: ajusta a freqncia de sintonia do receptor para o valor do Edit
correspondente;
- AM-E4: ajusta a freqncia de sintonia do receptor para o valor do Edit
correspondente;

VU meter: disponibiliza ao usurio visualizar a amplitude do sinal recebido
atravs de barras que aumentam conforma aumenta a amplitude do sinal;

>> e <<: estes botes aumentam e diminuem respectivamente a frequencia de
sintonias do receptor, dando saltos em freqncia conforme selecionado em
Spacing;
Open USB Device: abre a porta de comunicao entre o aplicativo e a placa de
hardware atravs do protocolo USB;
83


Close USB Device: fecha a de para comunicao entre o aplicativo e a placa de
hardware atravs do protocolo USB;

Close Program (click here): finaliza o aplicativo fechando automaticamente a
porta de comunicao entre o ele e a placa de hardware.


2.3.2. Instrues de utilizao do software de controle do receptor


Para utilizao deste aplicativo, devem ser seguidos alguns passos, que podem
acarretar no mau funcionamento do dispositivo. So estas:

1. Inicialmente, deve-se instalar o driver do circuito integrado FT245BL utilizado
para converso de dados paralelos/USB. Este disponibilizado no site do
fabricante do mesmo como D2XXX driver, sendo que a verso do driver
deve ser compatvel com o sistema operacional Windows XP;

2. Aps o dispositivo estar conectado a entrada USB do microcomputador, o
usurio deve abrir o programa receptorAM.exe e clicar no boto Open USB
Device para inicializar o aplicativo de forma correta, caso contrrio, o mesmo
no funcionar. Se a mensagem recebida ao clicar neste boto for
Error!Unplugged and plugged USB cable! o usurio deve desconectar e
conectar novamente o cabo USB;



3. Se no aparecer nenhuma mensagem, o usurio est apto a ajustar as
freqncias das estaes desejadas tal como varias os valores das freqncias
de corte e ganhos quando julgar necessrio.


84

2.4.Simulaes do hardware do receptor


As simulaes do circuito de hardware do receptor foram realizadas no software
Proteus da LABCENTER ELETRONICS. Os valores utilizados na simulao so dados por:

500
c
f kHz =
2
m
f kHz =
4
in rms
Ap mV =

Da Figura 34 do Anexo A podemos observar a resposta em freqncia do filtro passa
baixas de entrada. Como resultados tm-se uma tima atenuao das freqncias maiores a
1600kHz, como o desejado.
Da Figura 35 do Anexo A podemos observar o acionamento das chaves analgicas,
onde fica claro que a freqncia de chaveamento de cada chave determinada pela freqncia
do sinal a ser recebido, porm cada chave conduz somente um quarto do perodo, ou seja, o
sinal possui duty cycle de 25% se comparado ao sinal da portadora
c
F , que tem duty cycle de
50%.
Da Figura 36 do Anexo A temos a simulao das quatro sadas do detector de Tayloe.
No espectro da freqncia, teremos na entrada do detector um sinal que representa a soma das
duas freqncias:

in c m
f f f = +
500 2
in
f kHz kHz = +
502
in
f kHz =

A freqncia de chaveamento na entrada do CI 74HC4017 deve ser quatro vezes
maior que a freqncia do sinal na portadora que queremos demodular, pois o mesmo realiza
a diviso de freqncia por quatro e disponibiliza na entrada de controle de cada uma das
chaves, com duty cycle de 25%. Assim, a sada do detector de Tayloe realiza a converso de
85

freqncias para baixo (Down converting), ou seja, na sada teremos simplesmente o sinal de
banda base desejado.

4.
4
c
out in
f
f f =
502 500
out
f kHz kHz =
2
out
f kHz =

Outro fator bastante importante, ao qual j foi mencionado anteriormente a baixa
perda de converso do detector. A amplitude do sinal de entrada aps o filtro passa baixas
dada por:

_
3, 98
in detector rms
A mV p

Como podemos observar, o sinal de sada tm:

, 7 2 8
out rms
p A mV

Portanto como podemos ver, a perda de converso do detector foi de:

( ) 20.log( )
pout
converso
pin
A
Perda dB
A
=
( )
2, 78
20.log( )
3, 98
rms
converso
rms
mV
Perda dB
mV
=
3,16
converso
Perda dB =

Podemos ver que esse valor, poderia ser tanto menor quanto menor for resistncia
imposta pela chave, sendo assim, uma variao do detector de Tayloe pode ser realizada, em
que teremos um Double Balanced Tayloe Detector que consiste de duas chaves operando em
paralelo, diminuindo assim, o valor da resistncia da chave por dois. Mesmo este valor
encontrado pode ser considerado um valor bem aceitvel, pois outros tipos de misturadores,
86

como por exemplo, o misturador a diodos[3], que apresenta uma perda de converso (-6,2dB)
praticamente duas vezes maior se comparado com o detector de Tayloe.
Na Figura 37 do Anexo A temos as duas sadas (em fase (I) e em quadratura (Q))
provindas do detector de Tayloe, aps os amplificadores somadores. O desbalanceamento nas
amplitudes dos dois sinais resultantes foi corrigido usando dois potencimetros para ajustes
de ganho dos amplificadores operacionais que somam os sinais 0-180 e 90-270.
Na Figura 38 do Anexo A temos os sinais I e Q aps o circuito de deslocamento de
fase, onde podemos ver que os mesmos foram defasados de tal maneira a qual podem ser
somados diferencialmente, ou seja, resultando em uma diferena de fase de 180 . Na Figura
39 do Anexo A temos o sinal de sada resultante do receptor, que um sinal de banda base de
freqncia igual a 2kHz e amplitude 30, 61
rms
mV .
Na Figura 40 do Anexo A temos a resposta em freqncia do detector de produto de
Tayloe descrito acima, onde podemos notar que o mesmo se comporta como um filtro passa-
banda de alta seletividade em torno da freqncia de sintonia.

2.5.Resultados experimentais do hardware do receptor

Para a caracterizao do prottipo foram estabelecidas algumas condies. Com o
gerador de sinais HP8647A da Hewllett Packard, com impedncia de sada de 50, valor
correspondente aquele utilizado no projeto foi gerado o sinal de entrada para o receptor, sento
este um sinal senoidal puro, com os valores:

Freqncia do sinal de entrada: 1171
in
f kHz =
Amplitude do sinal de entrada: 4
in rms
Vp mV =

Este sinal descrito acima foi injetado a entrada do receptor, onde aps passar por um
filtro um filtro passa segue para o detector de Tayloe e posteriormente aos amplificadores
somadores. Na sada dos amplificadores somadores temos os sinais de banda base em
quadratura. O ajuste de sintonia foi realizado via software no microcomputador utilizando o
aplicativo desenvolvido especialmente para este propsito. Na Figura 29 temos a tela
principal do aplicativo, com os valores utilizados para experimentao.
87


Figura 29 - Valor da freqncia de sintonia para experimentao.


A freqncia dos sinais em fase em quadratura aps os amplificadores somadores
dada pela operao matemtica:

out in comutao
f f f =
1171 1170
out
f kHz kHz =
1
out
f kHz =
Onde a freqncia de comutao corresponde freqncia mostrada na tela do
aplicativo, na Figura 27. Como os sinais de sada dos amplificadores somadores apresentaram
um leve desbalanceamento, este foi corrigido atravs de ajustes finos de ganho dos mesmos.
Portanto, as caractersticas destes dois sinais (ver Figura 30) foram:

280
I Q rms
V V mV = @ 1
I Q
f f kHz =

88


Figura 30 - Sinais de sada I e Q aps os amplificadores diferenciais.


Aps os amplificadores diferenciais os sinais em fase em quadratura passam por um
circuito de deslocamento de fase de 90, onde so defasados de tal maneira a que a defasagem
resultante entre os dois sinais agora seja de 180 para posteriormente serem somados
diferencialmente, resultando em um nico sinal, de udio. As caractersticas dos sinais aps o
circuito de deslocamento de fase (ver Figura 31) foram:

0
310
rms
V mV @
0
1 f kHz
180
350
rms
V mV @
180
1 f kHz



Figura 31 - Sinais de sada aps o circuito de deslocamento de fase.


89

Aps o circuito de deslocamento de fase os sinais agora defasados em 180 seguem
para o circuito somador, que tm a funo de som-los diferencialmente, resultado em apenas
um sinal com o dobro da amplitude. As caractersticas deste sinal aps o circuito somador
(ver Figura 32) foi:

590
out rms
V mV @ 1
out
f kHz



Figura 32 - Sinal de sada de udio do receptor.



Para uma melhor compreenso de como se comporta o circuito do hardware em
relao aos sinais de banda base, na Figura 33 temos a resposta em freqncia do mesmo, que
representa um filtro passa-baixas para o sinal de banda base, que o sinal de interesse.

90


Figura 33 - Resposta em freqncia do hardware do receptor.


2.6.Placa de circuito impresso

O desenvolvimento da placa de circuito impresso do circuito do receptor foi realizado
com o auxlio da ferramenta ARES do Proteus da LABCENTER ELETRONICS. O circuito
final e o layout da placa podem ser visualizados respectivamente nos anexos G e Figura 43 do
anexo F. As regulamentaes especficas que abordam confeco de circuitos impressos para
telecomunicaes exigem placas de fibra de vidro e no desenvolvimento desta placa foi
separado o potencial de terra analgico do digital, sendo que na parte digital o placa possui
um plano de terra, no presente na parte analgica. A juno destes potenciais realizada na
parte inferior da placa conforme Figura 43 do Anexo F.



91

CONSIDERAES FINAIS


Aps a realizao do estudo terico sobre os rdios definidos por software, nota-se o
motivo pelo qual esta tcnica de desenvolvimento de sistemas de telecomunicaes est sendo
to utilizada nos dias atuais, representando significativas vantagens em relao a outras
tcnicas convencionais, pois a demodulao dos sinais de RF so realizadas por
microcomputadores atravs de determinados softwares, reduzindo gradativamente os
componentes de hardware que desenvolveriam este papel em outros tipos de receptores.
A placa de circuito impresso final do prottipo do receptor apresentou boas
caractersticas, tais quais como desejadas. O hardware do mesmo foi desenvolvido baseado
em idias que foram se concretizando ao longo do tempo. Aps a escolha de um
microcontrolador dentre tantos outros diversos no mercado foram sendo escolhidos outros
circuitos integrados que foram utilizados na etapa de converso de freqncias, como
oscilador local, o filtro de entrada para a antena dentre outros. Aps desenvolvimento do
firmware do microcontrolador e do software de controle do receptor foi realizada medies
prticas de funcionamento do receptor, apresentando resultados gratificantes, pois o mesmo
est funcionando tal qual como esperado.


92

REFERNCIAS BIBLIOGRFICAS


[1] JOHSON, David. E. et al. Fundamentos de anlise de circuitos eltricos. 4 Edio. Rio
de Janeiro: Editora Prentice-Hall do Brasil Ltda, 1994.

[2] TAYLOE, Daniel Richard inventor. Motorola Inc., assigne. Product detector and method
therefor. US patente 6,230,000 B1, 8 de maio de 2001.

[3] PETERSEN, Carlos Allan Caballero. Bases de misturadores de radio freqncia para
receptores de rdio. Universidade de Passo Fundo. 2009.

[4] Apontamentos de eletrnica rpida: Filtros para alta freqncia.
Disponvel em:<www.professores.aedb.br/arlei/AEDB/Arquivos/filtros2003.pdf>
Acesso em: 12 setembro 2009.

[5] AMARAL, Por Luiz. Consideraes sobre os receptores de converso direta. Disponvel
em:<http://tecnociencia.inf.br/comunidade/arqautor/luizamaral/csrc.pdf>
Acesso em: 10 setembro 2009.

[6] MARCO, Joo K. De. et al. SDRZero, um rdio definido por software. Disponvel em:
<http://py2wm.qsl.br/SDR/O-que-eh-um-SDR.html>. Acesso em: 03 setembro 2009.

[7] SDR rdio definido por software. Disponvel em:
<http://www.qsl.net/py4zbz/sdr/sdr.htm>. Acesso em: 03 setembro 2009.

[8] YOUNGBLOOD, Gerald. A Software defined radio for the Masses, Part 1. Disponvel
em: <www.arrl.org/tis/info/pdf/020708qex013.pdf>. Acesso em: 02 outubro 2009.

[9] CARR, Joseph. The technician's radio receiver handbook: wireless and telecommunication
technology. Disponvel em: <www.ebookee.com/The-Technician-s-Radio-Receiver-
Handbook_81921.html>. Acesso em: 04 outubro 2009.

93

[10] Amplificadores Operacionais. Disponvel em:
http://www.est.ualg.pt/ADEe/disciplinas/ciclo1/ano3/semestre1/meca/material/Amplificadore
s%20Operacionais.pdf. Acesso em: 12 dezembro 2009.

[11] D2XX Programmers Guide. Disponvel em:
<http://www.ftdichip.com/Documents/ProgramGuides/D2XX_Programmer's_Guide(FT_0000
71).pdf>. Acesso em: 09 novenbro 2009.

[12] Philips. 74HC4066 datasheet. 1998. Disponvel em: < http://www.nxp.com>.

[13] Nxp. NE5534 datasheet. 1994. Disponvel em: < http://www.nxp.com>.

[14] Motorola. 74HC4017 datasheet. 1995. Disponvel em: <http://www.alldatasheet.com>.

[15] Microchip. PIC18F2431 datasheet. 2003. Disponvel em: <http://www.microchip.com>.

[16] Analog Devices. AD9833 datasheet. 2002. Disponvel em: <http://www.analog
devices.com>.

[17]ST Microeletronics. TL074 datasheet. 2001. Disponvel em:
<http://www.alldatasheet.com>.

[18] Future Technology Devices Intenational. FT245BL datasheet. 2005. Disponvel em:
<http://www.ftdichip.com>.

94

ANEXO A SIMULAO NO SOFTWARE PROTEUS



Figura 34 - Resposta em freqncia do filtro passa-baixas.



Figura 35 - Acionamento das chaves analgicas.
95


Figura 36 - Sadas do detector de produto de Tayloe.



Figura 37 - Sadas I e Q do detector de produto de Tayloe.


96


Figura 38 - Sada I e Q aps circuito de deslocamento de fase.



Figura 39 - Sinal de sada resultante do circuito receptor.




97


Figura 40 - Largura de banda de deteco do detector de Tayloe.
98

ANEXO B EQUAO GERAL DE FUNCIONAMENTO DO AMPOP
[10]




Figura 41 - Amplificador operacional.


Idealmente, um amplificador operacional como o mostrado na Figura 41, apresenta:

V
A =
i
Z =
0
0 Z =

Como o amplificador operacional apresenta um curto-circuito virtual entre os
terminais de entrada positivo e negativo:

0
P N
V V =

Portanto, a corrente que entre os terminais positivo e negativo do ampop dada por:

( )
P N
V V
i
Zi

=
0
0 i = =



99

Como vlido o princpio da superposio, por aplicao da lei das malhas de
Kirchoff temos:

1 malha:
2
. . 0
i ni n pp p
V R i R i =

(6.4)
2 malha:
1
. . 0
i pi p pp p
V R i R i =

(6.5)

3 malha:
0
. . 0
fn n pp p
V R i R i + =

(6.6)


Da 2 malha:


1 i
p
pi pp
V
i
R R
=
+
(6.5)


Substituindo a equao (6.5) na equao (6.4):

1
2
.
.( )
pp i
i
n
ni ni pi pp
R V
V
i
R R R R
=
+
(6.7)


Substituindo a equao (6.7) na equao (6.6):

1
1
0 2
.
.( ) .( )
( )
fn pp i
i
pp i
pi pp ni pi pp
R R V
V
V R V
R R R R R
=
+ +


Portanto, a equao geral de funcionamento de um amplificador operacional conforma
a Figura 32 dado por:

0 1 2
. . 1 .
pp fn fn
i i
pi pp ni ni
R R R
V V V
R R R R
| | | |
| | | |
= + | |
| |
| |
+
\ \
\ \
(6.8)



100

ANEXO C PROJETO DO CIRCUITO DESLOCADOR DE FASE DE 90


Para o circuito base mostrado na Figura 42 onde n representa o nmero de sees
(clulas) (o circuito mostrado para n = 6 ; para n = 8 acrescentar mais uma clula acima e
uma baixo; para n = 4 tirar uma clula de cada lado). Para n maior teremos menor erro de
fase, por exemplo, para n = 6 erro de fase 1 = .



Figura 42 - Circuito de deslocamento de fase de 90 .


Programa:

Clear[d2,d3,f2,f1,n,d7,d9,d11,d13,d45]
f2=5000;
f1=200;
n=Input[Entrar n ];
d7=n;
theta=Pi 90/180;
d9=f2/f1;
k=Sqrt[f2^2-f1^2]/f2;
101

kl=Sqrt[1-k^2];
(* Aproximao de q *)

d19=0.5 (1-Sqrt[kl])/(1+Sqrt[kl]);
d22=d19+2 d19^5+15 d19^9 + 150 d19^13;

(* Clculo de *)

d28=(0 Pi-0.5 theta)/d7;
d30=(1 Pi-0.5 theta)/d7;
d32=(2 Pi - 0.5 theta)/d7;
d34=(3 Pi - 0.5 theta)/d7;
d36=(4 Pi - 0.5 theta)/d7;
d38=(5 Pi - 0.5 theta)/d7;
d40=(6 Pi -0.5 theta)/d7;
d42=(7 Pi -0.5 theta)/d7;

(* Clculo das raizes *)

d45=2 Pi Sqrt[f2 f1];

p0=d45 (Cos[d28]+d22^2 Cos[3 d28]+ d22^6 Cos[5 d28]+d22^8 Cos[7 d28]+d22^10 Cos[9
d28]+d22^12 Cos[11 d28])/(Sin[d28]-d22^2 Sin[3 d28]+d22^6 Sin[5 d28]-d22^8 Sin[7
d28]+d22^10 Sin[9 d28]-d22^12 Sin[11 d28]);

p1=d45 (Cos[d30]+d22^2 Cos[3 d30]+ d22^6 Cos[5 d30]+d22^8 Cos[7 d30]+d22^10 Cos[9
d30]+d22^12 Cos[11 d30])/(Sin[d30]-d22^2 Sin[3 d30]+d22^6 Sin[5 d30]-d22^8 Sin[7
d30]+d22^10 Sin[9 d30]-d22^12 Sin[11 d30]);

p2=d45 (Cos[d32]+d22^2 Cos[3 d32]+ d22^6 Cos[5 d32]+d22^8 Cos[7 d32]+d22^10 Cos[9
d32]+d22^12 Cos[11 d32])/(Sin[d32]-d22^2 Sin[3 d32]+d22^6 Sin[5 d32]-d22^8 Sin[7
d32]+d22^10 Sin[9 d32]-d22^12 Sin[11 d32]);

102

p3=d45 (Cos[d34]+d22^2 Cos[3 d34]+ d22^6 Cos[5 d34]+d22^8 Cos[7 d34]+d22^10 Cos[9
d34]+d22^12 Cos[11 d34])/(Sin[d34]-d22^2 Sin[3 d34]+d22^6 Sin[5 d34]-d22^8 Sin[7
d34]+d22^10 Sin[9 d34]-d22^12 Sin[11 d34]);

p4=d45 (Cos[d36]+d22^2 Cos[3 d36]+ d22^6 Cos[5 d36]+d22^8 Cos[7 d36]+d22^10 Cos[9
d36]+d22^12 Cos[11 d36])/(Sin[d36]-d22^2 Sin[3 d36]+d22^6 Sin[5 d36]-d22^8 Sin[7
d36]+d22^10 Sin[9 d36]-d22^12 Sin[11 d36]);

p5=d45 (Cos[d38]+d22^2 Cos[3 d38]+ d22^6 Cos[5 d38]+d22^8 Cos[7 d38]+d22^10 Cos[9
d38]+d22^12 Cos[11 d38])/(Sin[d38]-d22^2 Sin[3 d38]+d22^6 Sin[5 d38]-d22^8 Sin[7
d38]+d22^10 Sin[9 d38]-d22^12 Sin[11 d38]);

p6=d45 (Cos[d40]+d22^2 Cos[3 d40]+ d22^6 Cos[5 d40]+d22^8 Cos[7 d40]+d22^10 Cos[9
d40]+d22^12 Cos[11 d40])/(Sin[d40]-d22^2 Sin[3 d40]+d22^6 Sin[5 d40]-d22^8 Sin[7
d40]+d22^10 Sin[9 d40]-d22^12 Sin[11 d40]);

p7=d45 (Cos[d42]+d22^2 Cos[3 d42]+ d22^6 Cos[5 d42]+d22^8 Cos[7 d42]+d22^10 Cos[9
d42]+d22^12 Cos[11 d42])/(Sin[d42]-d22^2 Sin[3 d42]+d22^6 Sin[5 d42]-d22^8 Sin[7
d42]+d22^10 Sin[9 d42]-d22^12 Sin[11 d42]);

(*Rotina para imprimir as razes e as constantes de tempo*)

If[n==4,{Print["Raizes para n = ",n],
Print[" Po = ",p0], Print[" P1 = ",p1],Print[" P2 =",p2],Print[" P3 = ",p3]},
If[n==6,{Print["Raizes para n = ",n],
Print[" Po = ",p0], Print[" P1 = ",p1],Print[" P2 = ",p2],Print[" P3 = ",p3],
Print[" P4 = ",p4],Print[" P5 = ",p5]},{Print["Raizes para n = 8"],
Print[" Po = ",p0],
Print[" P1 = ",p1],
Print[" P2 = ",p2],
Print[" P3 = ",p3],
Print[" P4 = ",p4],
Print[" P5 = ",p5],
103

Print[" P6 = ",p6],
Print[" P7 = ",p7]}]],


Razes para n = 4
Po = -51713.4
P1 = 11496.1
P2 = 763.409
P3 = -3434.08

If[n==8,{Print[" n = 8"],Print[" f1 = ",f1," Hz"],Print[" f2 = ",f2," Hz"],tdf1=(-1+I 2 Pi f/p1)
(-1+I 2 Pi f/p2) (-1+I 2 Pi f/p3) (-1+I 2 Pi f/p4)/((1+I 2 Pi f/p1) (1+I 2 Pi f/p2) (1+I 2 Pi f/p3)
(1+I 2 Pi f/p4) )//N;
fase1=180 Arg[tdf1]/Pi//N;
tdf2=(-1-I 2 Pi f/p0) (-1-I 2 Pi f/p5) (-1-I 2 Pi f/p6) (-1-I 2 Pi f/p7)/((1-I 2 Pi f/p0) (1-I 2 Pi
f/p5) (1-I 2 Pi f/p6) (1-I 2 Pi f/p7) )//N;
fase2=180 Arg[tdf2]/Pi//N;
Plot[{fase1-fase2},{f,f1,f2},FrameTrue,PlotRangeAll,GridLinesAutomatic]},
If[n==6,{Print["n = 6"],Print[" f1 = ",f1," Hz"],Print[" f2 = ",f2," Hz"],
tdf1=(-1+I 2 Pi f/p1) (-1+I 2 Pi f/p2) (-1+I 2 Pi f/p3)/((1+I 2 Pi f/p1) (1+I 2 Pi f/p2) (1+I 2 Pi
f/p3) )//N;
fase1=180 Arg[tdf1]/Pi//N;
tdf2=(-1-I 2 Pi f/p0) (-1-I 2 Pi f/p4) (-1-I 2 Pi f/p5)/((1-I 2 Pi f/p0) (1-I 2 Pi f/p4) (1-I 2 Pi
f/p5) )//N;
fase2=180 Arg[tdf2]/Pi//N;
Plot[{fase1-fase2},{f,f1,f2},FrameTrue,PlotRangeAll,GridLinesAutomatic]},
{Print["n = 4"],Print[" f1 = ",f1," Hz"],Print[" f2 = ",f2," Hz"],tdf1=(-1+I 2 Pi f/p1) (-1+I 2
Pi f/p2)/((1+I 2 Pi f/p1) (1+I 2 Pi f/p2) )//N;
fase1=180 Arg[tdf1]/Pi//N;
tdf2=(-1-I 2 Pi f/p0) (-1-I 2 Pi f/p3)/((1-I 2 Pi f/p0) (1-I 2 Pi f/p3) )//N;
fase2=180 Arg[tdf2]/Pi//N;
Plot[{fase1-fase2},{f,f1,f2},FrameTrue,PlotRangeAll,GridLinesAutomatic]},
n = 12
104

f1 = 200 Hz
f2 = 5000 Hz






























105

ANEXO D FIRMWARE DO MICROCONTROLADOR


//--------------------------------------------------------------------------------------------------------------//
#include <18f2431.h>
#include <string.h>
#include <regs_18f.h>
#use delay(clock=40000000)
#fuses XT,NOWDT,NOLVP,NOMCLR,H4
//------------------------------------Definies de variveis---------------------------------------------//
#define FSYNC RC4
#define SCLK RC5
#define SDATA RC6
#define RD RA2
#define WR RA1
#define TXE RA0
#define RXF RC3
#define LED_RX RC7
//--------------------------------------Prototipagem das funes-----------------------------------------//
void manipula_variaveis(void);
void inicializa_ad9833(void);
void tunning_word_out(void);
void send_spi(unsigned int16 DATA_SEND);
void habilita_int_externa(void);
//--------------------------------Declarao das variveis globais--------------------------------------//
char buffer_rx[6];
float f_out_tayloe;
unsigned int8 inc_buffer_rx;
unsigned int32 delta_phase;
unsigned int16 delta_phase_MSB;
unsigned int16 delta_phase_LSB;
unsigned int8 delta_phase_3;
unsigned int8 delta_phase_2;
106

unsigned int8 delta_phase_1;
unsigned int8 delta_phase_0;
unsigned int1 libera_USB_tx=0;
//-------------------------------------------Funo principal----------------------------------------------//
void main(void)
{
TRISA=0b11000000;
TRISB=0b00000000;
TRISC=0b00001100;
LED_RX=1;
f_out_tayloe=4*921;
manipula_variaveis();
tunning_word_out();
habilita_int_externa();
while(true);
}
//-----------------------Funo para manipulao da variavel delta_phase---------------------------//
void manipula_variaveis(void)
{
delta_phase=f_out_tayloe*10737.41824;
shift_left(&delta_phase,4,0);
shift_left(&delta_phase,4,0);
delta_phase_3=make8(delta_phase,3);
delta_phase_2=make8(delta_phase,2);
delta_phase_1=make8(delta_phase,1);
delta_phase_0=make8(delta_phase,0);
delta_phase_MSB=make16(delta_phase_3,delta_phase_2);
delta_phase_LSB=make16(delta_phase_1,delta_phase_0);
shift_right(&delta_phase_LSB,2,1);
shift_right(&delta_phase_LSB,2,0);
bit_set(delta_phase_MSB,14);
}
//---------------------------------------Funo de inicializao do AD9833---------------------------//
107

void inicializa_ad9833(void)
{
send_spi(0b0010000100101000); //Control register
send_spi(delta_phase_LSB); //word LSB em FREQ0
send_spi(delta_phase_MSB); //word MSB em FREQ0
send_spi(0b1000000000000000); //word LSB em FREQ1
send_spi(0b1000000000000000); //word MSB em FREQ1
send_spi(0b1100000000000000); //word MSB em PHASE0
send_spi(0b1110000000000000); //word MSB no em PHASE1
send_spi(0b0010000000101000); //Control register
}
//---------------------------Funo de mudana de freqncia no AD9833---------------------------//
void tunning_word_out(void)
{
send_spi(0b0010000000101000);
send_spi(delta_phase_LSB);
send_spi(delta_phase_MSB);
}
//--------------------------Funo para envio de dados via protocolo SPI----------------------------//
void send_spi(unsigned int16 DATA_SEND)
{
unsigned int1 bit_for_send;
unsigned int8 num_bit_SPI;
SCLK=1;
FSYNC=1;
FSYNC=0; //liga sincronismo...
for(num_bit_SPI=0;num_bit_SPI<16;num_bit_SPI++)
{
bit_for_send=shift_left(&DATA_SEND,2,0);
SDATA=bit_for_send;
SCLK=0;
SCLK=1;
}
108

FSYNC=1;
}
//--------------------------Funo para habilitao da interrupo externa---------------------------//
void habilita_int_externa(void)
{
GIEGIEH=1;
INTEDG0=0;
INT0IE=1;
}
//--------------------------------------Funo da interrupo externa-----------------------------------//
#INT_EXT
void recebe_USB(void)
{
float dig_1,dig_2,dig_3,dig_4,dig_5;
INT0IE=0;
LED_RX=0;
TRISB=0b11111111;
RD=0;
buffer_rx[inc_buffer_rx]=PORTB;
RD=1;
TRISB=0b00000000;
if((buffer_rx[inc_buffer_rx])=='*')
{
inc_buffer_rx=0;
dig_1=(buffer_rx[0]-0x30);
dig_2=(buffer_rx[1]-0x30);
dig_3=(buffer_rx[2]-0x30);
dig_4=(buffer_rx[3]-0x30);
dig_5=(buffer_rx[4]-0x30);
f_out_tayloe=4*((dig_1*1000)+(dig_2*100)+(dig_3*10)+(dig_4*1)+(dig_5*0.1));
if(f_out_tayloe>=6400)f_out_tayloe=6400;
if(f_out_tayloe<=2120)f_out_tayloe=2120;
manipula_variaveis();
109

tunning_word_out();
LED_RX=1;
}
else
{
inc_buffer_rx++;
}
INT0IE=1;
}
//--------------------------------------------------------------------------------------------------------------//























110

ANEXO E SOFTWARE DO APLICATIVO DE CONTROLE DO RECEPTOR

//--------------------------------------------------------------------------------------------------------------//
#include <vcl.h>
#pragma hdrstop
#include "Unit1.h"
#include "registry.hpp"
#include "ftd2xx.h"
//--------------------------------------------------------------------------------------------------------------//
#pragma package(smart_init)
#pragma link "LPDrawLayers"
#pragma link "SLComponentCollection"
#pragma link "SLScope"
#pragma link "SLStreamTypes"
#pragma link "ALAmplifier"
#pragma link "ALAudioIn"
#pragma link "ALAudioOut"
#pragma link "ALAudioToReal"
#pragma link "ALBasicAudioOut"
#pragma link "ALCommonFilter"
#pragma link "ALCommonFIRFilter"
#pragma link "ALCommonSplitter"
#pragma link "ALLowPass"
#pragma link "ALRemoveDC"
#pragma link "ALCommonMeter"
#pragma link "ALVUMeter"
#pragma link "ALCommonLogger"
#pragma link "ALWaveLogger"
#pragma resource "*.dfm"
#pragma comment(lib,"FTD2XX.lib")
//--------------------------------------------------------------------------------------------------------------//
TForm1 *Form1;
FT_HANDLE ftHandle;
111

FT_STATUS ftStatus;
unsigned int numDev=0;
//--------------------------------------------------------------------------------------------------------------//
AnsiString Str_memory_read;
TIniFile* ini=new TIniFile("c:\\am_receiver.ini");
//--------------------------------------------------------------------------------------------------------------//
void ajusta_digitos_frequencia(unsigned long int frequencia);
void send_data_FT245(void);
void MostraData(void);
//--------------------------------------------------------------------------------------------------------------//
unsigned long int frequencia;
unsigned long int incremento_frequencia;
unsigned int dig_1,dig_2,dig_3,dig_4,dig_5;
unsigned long int estacao_1,estacao_2,estacao_3,estacao_4;
char d1,d2,d3,d4,d5;
char data_to_send[6];
//--------------------------------------------------------------------------------------------------------------//
__fastcall TForm1::TForm1(TComponent* Owner)
: TForm(Owner)
{
CloseUSBDevice->Enabled=false;
OpenUSBDevice->Enabled=true;
UpFrequency->Enabled=false;
DownFrequency->Enabled=false;
EstationAm1->Enabled=false;
EstationAm2->Enabled=false;
EstationAm3->Enabled=false;
EstationAm4->Enabled=false;
InputDevice->Enabled=false;
Record->Enabled=false;
Play->Enabled=false;
Stop->Enabled=false;
Str_memory_read=ini->ReadString("estacao_1","frequency","<erro>");
112

Edit2->Text=Str_memory_read;
Str_memory_read=ini->ReadString("estacao_2","frequency","<erro>");
Edit3->Text=Str_memory_read;
Str_memory_read=ini->ReadString("estacao_3","frequency","<erro>");
Edit4->Text=Str_memory_read;
Str_memory_read=ini->ReadString("estacao_4","frequency","<erro>");
Edit5->Text=Str_memory_read;
frequencia=atoi(Edit2->Text.c_str())*1000;
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;
CheckBox5->Checked=true;
CheckBox13->Checked=true;
CheckBox14->Checked=true;
ALAudioIn1->Stop();
ALWaveLogger1->Enabled=true;
MostraData();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::DownFrequencyClick(TObject *Sender)
{
frequencia=frequencia-incremento_frequencia;
if(frequencia<=530000)
{
frequencia=530000;
}
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
113

Label8->Caption=dig_4;
Label10->Caption=dig_5;
send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::UpFrequencyClick(TObject *Sender)
{
frequencia=frequencia+incremento_frequencia;
if(frequencia>=1600000)
{
frequencia=1600000;
}
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;
send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::EstationAm1Click(TObject *Sender)
{
frequencia=atoi(Edit2->Text.c_str())*1000;
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;
send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//
114

void __fastcall TForm1::EstationAm2Click(TObject *Sender)
{
frequencia=atoi(Edit3->Text.c_str())*1000;
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;
send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::EstationAm3Click(TObject *Sender)
{
frequencia=atoi(Edit4->Text.c_str())*1000;
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;
send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::EstationAm4Click(TObject *Sender)
{
frequencia=atoi(Edit5->Text.c_str())*1000;
ajusta_digitos_frequencia(frequencia);
Label4->Caption=dig_1;
Label6->Caption=dig_2;
Label7->Caption=dig_3;
Label8->Caption=dig_4;
Label10->Caption=dig_5;
115

send_data_FT245();
}
//--------------------------------------------------------------------------------------------------------------//
void ajusta_digitos_frequencia(unsigned long int frequencia)
{
dig_1=(frequencia%10000000)/1000000;
dig_2=(frequencia%1000000)/100000;
dig_3=(frequencia%100000)/10000;
dig_4=(frequencia%10000)/1000;
dig_5=(frequencia%1000)/100;
d1=char(dig_1)+0x30;
d2=char(dig_2)+0x30;
d3=char(dig_3)+0x30;
d4=char(dig_4)+0x30;
d5=char(dig_5)+0x30;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::OpenUSBDeviceClick(TObject *Sender)
{
ftStatus=FT_Open(numDev,&ftHandle);
if(ftStatus==FT_OK)
{
ftStatus=FT_ResetDevice(ftHandle);
FT_Purge(ftHandle,FT_PURGE_RX | FT_PURGE_TX);
CloseUSBDevice->Enabled=true;
OpenUSBDevice->Enabled=false;
UpFrequency->Enabled=true;
DownFrequency->Enabled=true;
EstationAm1->Enabled=true;
EstationAm2->Enabled=true;
EstationAm3->Enabled=true;
EstationAm4->Enabled=true;
InputDevice->Enabled=true;
116

Record->Enabled=true;
Play->Enabled=true;
Stop->Enabled=true;
send_data_FT245();
}
else
{
ShowMessage("Error! Unplugged and plugged USB cable.");
CloseUSBDevice->Enabled=false;
OpenUSBDevice->Enabled=true;
UpFrequency->Enabled=false;
DownFrequency->Enabled=false;
}
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CloseUSBDeviceClick(TObject *Sender)
{
ftStatus=FT_Close(ftHandle);
if(ftStatus == FT_OK)
{
CloseUSBDevice->Enabled=false;
OpenUSBDevice->Enabled=true;
UpFrequency->Enabled=false;
DownFrequency->Enabled=false;
EstationAm1->Enabled=false;
EstationAm2->Enabled=false;
EstationAm3->Enabled=false;
EstationAm4->Enabled=false;
InputDevice->Enabled=false;
Record->Enabled=false;
Play->Enabled=false;
Stop->Enabled=false;
ALAudioIn1->Stop();
117

Play->Enabled=false;
Stop->Enabled=false;
}
else
{
Close();
}
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CloseProgramClick(TObject *Sender)
{
ftStatus=FT_Purge(ftHandle,FT_PURGE_RX|FT_PURGE_TX);
ini->WriteString("estacao_1","frequency",Edit2->Text);
ini->WriteString("estacao_2","frequency",Edit3->Text);
ini->WriteString("estacao_3","frequency",Edit4->Text);
ini->WriteString("estacao_4","frequency",Edit5->Text);
Close();
}
//--------------------------------------------------------------------------------------------------------------//
void send_data_FT245(void)
{
ULONG length_data;
ULONG write_bytes=0;
unsigned int i;

for(i=0;i<=5;i++)
{
switch (i)
{
case(0):data_to_send[0]=d1;break;
case(1):data_to_send[1]=d2;break;
case(2):data_to_send[2]=d3;break;
case(3):data_to_send[3]=d4;break;
118

case(4):data_to_send[4]=d5;break;
case(5):data_to_send[5]='*';break;
}
}
length_data=strlen(data_to_send);
ftStatus=FT_Write(ftHandle,data_to_send,length_data,&write_bytes);
if(ftStatus!=FT_OK)
{
ShowMessage("Error! Close the program.");
}
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox1Click(TObject *Sender)
{
if(CheckBox1->Checked==true)
{
CheckBox2->Checked=false;
CheckBox3->Checked=false;
CheckBox4->Checked=false;
CheckBox5->Checked=false;
}
incremento_frequencia=100;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox2Click(TObject *Sender)
{
if(CheckBox2->Checked==true)
{
CheckBox1->Checked=false;
CheckBox3->Checked=false;
CheckBox4->Checked=false;
CheckBox5->Checked=false;
}
119

incremento_frequencia=500;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox3Click(TObject *Sender)
{
if(CheckBox3->Checked==true)
{
CheckBox1->Checked=false;
CheckBox2->Checked=false;
CheckBox4->Checked=false;
CheckBox5->Checked=false;
}
incremento_frequencia=1000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox4Click(TObject *Sender)
{
if(CheckBox4->Checked==true)
{
CheckBox1->Checked=false;
CheckBox2->Checked=false;
CheckBox3->Checked=false;
CheckBox5->Checked=false;
}
incremento_frequencia=5000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox5Click(TObject *Sender)
{
if(CheckBox5->Checked==true)
{
CheckBox1->Checked=false;
CheckBox2->Checked=false;
120

CheckBox3->Checked=false;
CheckBox4->Checked=false;
}
incremento_frequencia=10000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::InputDeviceClick(TObject *Sender)
{
ALAudioIn1->Device->ShowDeviceSelctDialog();
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::StopClick(TObject *Sender)
{
ALAudioIn1->Stop();
Play->Enabled=true;
Stop->Enabled=false;
Record->Enabled=true;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::PlayClick(TObject *Sender)
{
ALAudioIn1->Start();
Play->Enabled=false;
Stop->Enabled=true;
Record->Enabled=true;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::ALVUMeter1ValueChange(TObject *Sender,
int AChannel, Real AValue, Real AMin, Real AMax)
{
VUmeter->Position = AValue;
VUmeter->Max = AMax;
}
121

//--------------------------------------------------------------------------------------------------------------//
void MostraData(void)
{
int intDiaSemana;
TDateTime dtHoje;
String strDiaSemana;

dtHoje=Date();
intDiaSemana=DayOfWeek(dtHoje);
switch(intDiaSemana)
{
case 1: strDiaSemana = "Sunday - ";break;
case 2: strDiaSemana = "Monday - ";break;
case 3: strDiaSemana = "Tuesday - ";break;
case 4: strDiaSemana = "Wednesday - ";break;
case 5: strDiaSemana = "Thursday - ";break;
case 6: strDiaSemana = "Friday - ";break;
case 7: strDiaSemana = "Saturday - ";break;
}
Form1->lblData->Caption=strDiaSemana+DateToStr(dtHoje);
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::tmrRelogioTimer(TObject *Sender)
{
lblHora->Caption = TimeToStr(Time());
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::RecordClick(TObject *Sender)
{
ALWaveLogger1->Enabled=true;
Record->Enabled=false;
}
//--------------------------------------------------------------------------------------------------------------//
122

void __fastcall TForm1::CheckBox6Click(TObject *Sender)
{
if(CheckBox6->Checked==true)
{
CheckBox7->Checked=false;
CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=3000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox7Click(TObject *Sender)
{
if(CheckBox7->Checked==true)
{
CheckBox6->Checked=false;
CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=3500;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox8Click(TObject *Sender)
{
if(CheckBox8->Checked==true)
123

{
CheckBox6->Checked=false;
CheckBox7->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=4000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox9Click(TObject *Sender)
{
if(CheckBox9->Checked==true)
{
CheckBox6->Checked=false;
CheckBox7->Checked=false;
CheckBox8->Checked=false;
CheckBox10->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=4500;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox10Click(TObject *Sender)
{
if(CheckBox10->Checked==true)
{
CheckBox6->Checked=false;
CheckBox7->Checked=false;
124

CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=5000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox11Click(TObject *Sender)
{
if(CheckBox11->Checked==true)
{
CheckBox6->Checked=false;
CheckBox7->Checked=false;
CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;
CheckBox12->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=5500;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox12Click(TObject *Sender)
{
if(CheckBox12->Checked==true)
{
CheckBox6->Checked=false;
CheckBox7->Checked=false;
CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;
125

CheckBox11->Checked=false;
CheckBox13->Checked=false;
}
ALLowPass1->Frequency=6000;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox13Click(TObject *Sender)
{
if(CheckBox13->Checked==true)
{
CheckBox6->Checked=false;
CheckBox7->Checked=false;
CheckBox8->Checked=false;
CheckBox9->Checked=false;
CheckBox10->Checked=false;
CheckBox11->Checked=false;
CheckBox12->Checked=false;
}
ALLowPass1->Frequency=6500;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox14Click(TObject *Sender)
{
if(CheckBox14->Checked==true)
{
CheckBox15->Checked=false;
CheckBox16->Checked=false;
CheckBox17->Checked=false;
CheckBox18->Checked=false;
CheckBox19->Checked=false;
}
ALAmplifier1->Coefficient=1.0592537251772888788092803732781;
}
126

//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox15Click(TObject *Sender)
{
if(CheckBox15->Checked==true)
{
CheckBox14->Checked=false;
CheckBox16->Checked=false;
CheckBox17->Checked=false;
CheckBox18->Checked=false;
CheckBox19->Checked=false;
}
ALAmplifier1->Coefficient=1.1220184543019634355910389464779;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox16Click(TObject *Sender)
{
if(CheckBox16->Checked==true)
{
CheckBox14->Checked=false;
CheckBox15->Checked=false;
CheckBox17->Checked=false;
CheckBox18->Checked=false;
CheckBox19->Checked=false;
}
ALAmplifier1->Coefficient=1.4125375446227543021556078639302;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox17Click(TObject *Sender)
{
if(CheckBox17->Checked==true)
{
CheckBox14->Checked=false;
CheckBox15->Checked=false;
127

CheckBox16->Checked=false;
CheckBox18->Checked=false;
CheckBox19->Checked=false;
}
ALAmplifier1->Coefficient=1.7782794100389228012254211951927;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox18Click(TObject *Sender)
{
if(CheckBox18->Checked==true)
{
CheckBox14->Checked=false;
CheckBox15->Checked=false;
CheckBox16->Checked=false;
CheckBox17->Checked=false;
CheckBox19->Checked=false;
}
ALAmplifier1->Coefficient=2.2387211385683396119549508524657;
}
//--------------------------------------------------------------------------------------------------------------//
void __fastcall TForm1::CheckBox19Click(TObject *Sender)
{
if(CheckBox19->Checked==true)
{
CheckBox14->Checked=false;
CheckBox15->Checked=false;
CheckBox16->Checked=false;
CheckBox17->Checked=false;
CheckBox18->Checked=false;
}
ALAmplifier1->Coefficient=2.8183829312644538191019236991551;
}
//--------------------------------------------------------------------------------------------------------------//
128

ANEXO F LAYOUT DA PLACA DE CIRCUITO IMPRESSO



Figura 43 - Layout da placa de circuito impresso.











129

ANEXO G CIRCUITO FINAL DO RECEPTOR













130

















131



132

ANEXO H FOTOS DO PROTTIPO

Você também pode gostar