Você está na página 1de 56

Impresso no Brasil Sujeito a alteraes Todos os Direitos Reservados 4806 727 17320

DVDR3455H HDD + DVD Recorder


CLASS 1
LASER PRODUCT
10/2006
a n i g P o d e t n o C
Especi? 2 s a c i n c T s e a c
2 s i e n i a P s o d o a z i l a c o L
4 a n a r u g e S e d s e u r t s n I
6 s a c i n c e M s e u r t s n I
9 e r a w m r i F o a z i l a u t A
1 1 o c o l B m e a m a r g a i D
2 1 s e x e n o C e d a m r g a i D
Painel Analgico- Formas de ondas 13
Painel Digital- Formas de ondas 14
5 1 t u o y a L - o c i g l a n A l e n i a P
6 1 t u o y a L - l a t i g i D l e n i a P
7 1 o c i g l a n A l e n i a P
3 2 l a t n o r F l e n i a P
7 2 l a t i g i D l e n i a P
Unidade Fonte de Alimentao 34
5 3 C I e d o i r c s e d e o t i u c r i C
6 5 a d i d o l p x E a t s i V
2 DVDR3455
1. Especificaes Tcnicas
1.1 Localizao dos Paineis
1.2 Geral:
Alimentao : 127V /37
5 5 / V 0 4 2 - V 0 1 1 :
7 9 / 5 7 / V 0 4 2 - V 0 2 2 :
Consumo : 25 W (tipco)
Consumo em Standby : < 3 W
1.3 RF Tuner
Teste equipmente: Fluke 54200 TV Gerador de sinal
Teste streams: Philips Standard teste padro
1.3.1 Sistema
NTSC-M
1.3.2 RF - Loop Through:
Relao de Frequncia : 45 - 860 MHz
Ganho: (ANT IN - ANT OUT): -6dB
Interferncia de rdio/ entrada max. de
tenso, em 75, 3 mtodo tom (
sem limite : ) B d 0 4 -
1.3.3 Modulador:
Modulao de vdeo : 80%15%
Resposta de frequncia : 0 3dB, 0...4.2MH
Modulao de udio 1kHz tom : 12kHz, tol. 4kHz
1.3.4 Receiver:
PLL sintonizado com AFC para melhor recepo
Relao de frequncia : 55 - 805 MHz
Sensitividade em 40 dB S/N: 60dBV em 75
) d e t h g i e w n u o e d i v (
1.3.5 Desempenho de Vdeo:
Canal 25 / 503,25 MHz,
Teste padro: standard teste padro
RF Nvel 74dBV
Medidas de Sada Cinch
Resposta de Frequncia: 0.1 - 3.58 MHz -1 3dB
1.3.6 Desempenho de Vdeo:
Desempenho Analgico de udio - HiFi:
Resposta de Frequncia em sada Cinch (E+D)
0 / z H k 0 1 - z H 0 0 1 :
B d 3
S/N de acordo com DIN 45405, 7, 1967
e teste padro standard PHILIPS
: sinal de vdeo 45dB
Distroro harmonica (1 kHz, 25
kHz desvio) : 1.5%
1.3.7 Sintonia
Sintonia de Procura Automtica
Scanning time sem antena : tipo. 3 min.
Nvel parado(visa de carga): 37dBV
Operao duarnte erro de sintonia
z H k 0 0 1 : mxima
Sintonia Manual
Manual seleo no modo STORE
Painel Digital
Painel Analgico
PSU
Painel Frontal
3 DVDR3455
1.4 Entradas/Sadas Analgicas
1.4.1 Entrada Externa(Traseira)
Video - Y/C (Hosiden)
acordo IEC 933-5
Sobrepor DC-nvel no pino 4 (carga 100k):
< 2.4V detectado como taxa de aspecto 4:3
> 3.5V detectado como taxa de aspecto 16:9
Entrada de tenso Y: 1 Vpp 3dB
Entrada de impedncia Y: 75
Tenso de entrada C: burst 300 mVpp 3dB
Impedncia de entrada C: 75
Video Cinch
Tenso de entrada : 1 Vpp 3dB
Impedncia de entrada: 75
Audio Cinch
Tenso de entrada : 2.2 Vrms max.
Impedncia de entrada : > 10k
1.4.2 Entrada de Conectores Frontal udio/Video
Audio
Tenso de entrada : 2 Vrms max.
Impedncia de entrada : > 10k
Video - Cinch
Tenso de entrada : 1 Vpp 3dB
Impedncia de entrada : 75
Video - YC (Hosiden)
acordo IEC 933-5
Sobrepor DC-nvel no pino 4 (carga 100 k):
< 2.4V detectada como taxa de aspecto 4:3
> 3.5V detectadi cini taxa de asoecto 16:9
Tenso de entrada Y : 1 Vpp 3dB
Impedncia de entrada Y : 75
Tenso de entrada C : burst 300 mVpp 3dB
Impedncia de entrada C : 75
1.4.3 Sada 1
Component Video Cinch Y/Pb/Pr / Progressive Scan
acordo EIO-770-1-A, EIA-770-2-A
Audio - Cinch
Teso de sada : 2 Vrms max
Impedncia de sada: < 2k
1.4.4 Sada 2
Video - Y/C (Hosiden)
Tesno de sada Y : 1 Vpp 3dB
C : burst 300 mVpp 1dB
Impedncia de sada Y, C: 75
Video - Cinch
Tenso de sada : 1 Vpp 1dB
Impedncia de sada: 75
Audio - Cinch
Tenso de sada : 2 Vrms max
Impedncia de sada: < 1k
1.5 Desempenho de Vdeo DVD
Todas as sadas carregadas com 75 Ohm
SNR medio total de largura de faixa sem pesagem
1.5.1 Sadas Total
B d 8 4 > : R N S
B d 3 - z H M 2 . 4 : Largura de faixa
1.6 Desempenho de udio do CD
1.6.1 Sada Traseira Cinch
Tenso de sada modo 2 canais : 2Vrms 1dB
Canal sem balano (1kHz) : <1dB
Crosstalk 1kHz : >100dB
Crosstalk 20Hz-20kHz : >87dB
Resposta de Frequncia 20Hz-20kHz : 0.2dB max
Taxa sinal/rudo (A-weighted) : >90dB
Relao dinmica 1kHz : >83dB
Rudo e distoro 1kHz : >83dB
Rudo e distoro 20Hz-20kHz : >75dB
Distoro de intermodulao : >70dB
B d 5 9 > : e t u M
Atenuao faixa de sada: >40dB acima de 30kHz
1.7 Sada Digital
1.7.1 Coaxial
CDDA / LPCM : acordo IEC60958
MPEG1, MPEG2, AC3 audio : acordo IEC61937
7 3 9 1 6 C E I acordo : S T D
1 t n e m d n e m a
1.8 Entrada de Vdeo Digital (IEEE 1394)
1.8.1 Aplicaes Padro
Acordo de Implementao:
IEEE Std 1394-1995
IEC 61883 - Part 1
IEC 61883 - Part 2 SD-DVCR (02-01-1997)
Especificao do consumidor usando o VCR digital 6.3 mm
fita magntica - dec. 1994
Acordo de mecanismo de conexo
Anexo A do 61883-1
1.9 Dimenses e Peso
Altura : 5.5mm
Bandeja fechado : LxAxP :360x43x322mm
Peso sem embalagem : 3 kg
Peso embalado : 4 kg
1.10 Sada de Laser & Comprimento de Onda
1.10.1 DVD
Sada de energia durante leitura : 1.0mW
Sada de energia durante escrita : 30mW
m n 0 5 6 : Comprimento de onda
1.10.2 CD
Energia de sada : 1.0mW
m n 0 8 7 : Comprimento de onda
1.11 Velocidade de Escrita
Tipo do Disco (Funo) Veloc. Rotao Disco
Velocidade Ler CD 7X CAV (25Hz)
Velocidade Ler DVD 4X CAV (40Hz)
Veloc. Escrita DVD+RW 2.4X ZCAV
Veloc. Escrita DVD+R 2.4X ZCAV
4 DVDR3455
2.1 Instrues de Segurana
2.1.1 Segurana geral
Os regulamentos de segurana requerem que durante um reparo:
Conecte a unidade aos cabos principais um transformador
de isolamento.
Recoloque os componentes de segurana, indicados pelo sm-
bolo , somente pelos componentes idnticos aos originais.
Qualquer outra substituio de componente (com exceo
do tipo original) pode aumentar o risco de fogo ou choque
eltrico.
Os regulamentos de segurana requerem que depois de um
reparo, voc deve retornar a unidade na sua condio original.
Preste ateno, particularmente, nos seguintes pontos:
Distribua os os e cabos corretamente, e repare-os com os
acampamentos montados do cabo.
Verique a isolao da conduo dos os principais para danos
externos.
Verique a resistncia eltrica DC entre os os dos plugs princi-
pais e o lado secundrio:
1. Desplugue os cabos principais, e conecte um o entre os
dois pinos do plugue principal.
2. Ajuste os os do interruptor principal na posio ON
(mantenha o cabo dos os principais plugados!)
3. Mea o valor da resistncia entre os os dos plugues princi-
pais e do painel frontal, controle e boto de chassis.
4. O reparo ou a unidade correta quando a resistncia est
sendo medida de menos de 1 M.
5. Verique isto, antes de retornar a unidade ao cliente / usu-
rio (ref. UL- padro no. 1492).
6. Mude a unidade para OFF, e remova o o entre os dois
pinos do plugue principal.
2.1.2 Segurana de laser
Essa unidade emprega um laser. Somente pessoal de servio
qualicado pode remover a tampa, ou tente prestar servios de
manuteno nesse dispositivo (devido a possvel ferimento nos
olhos).
Unidade do dispositivo de Laser
Tipo: laser semi-condutor GaAlAs
Comprimento de onda: 650 nm (DVD)
780nm (VCD/CD)
Energia de sada: 20 mW (DVD+RW writing)
: 0.8 mW (leitura de DVD)
: 0.3 mW (leitura de VDC/CD)
Divergncia do feixe: 60 graus
Figura 2-1
Nota: o uso dos controles ou do ajuste ou o desempenho do pro-
cedimento excepo daqueles especicado nisto, podem resul-
tar na exposio perigosa da radiao. Evite a exposio direta ao
feixe.
2.2 Cuidados
2.2.1 Geral
Todos os ICs e muitos outros semicondutores so suscet-
veis as descargas eletrostticas (ESD, ") a manipulao
descuidada durante o reparo pode reduzir a vida drasticamente.
Certique-se que durante o reparo, voc est no mesmo
potencial que a massa do aparelho por uma pulseira com resis-
tncia. Mantenha os componentes e ferramentas na mesma
potncia.
Equipamentos de proteo disponveis ESD:
- Kit completo ESD3 (pequenas TABLEMAT, WRISTBAND, caixa
de conexo, cabo de extenso e o terra) 4822 310 10671.
- Vericador Wristband 4822 344 13999
Tenha cuidado durante a medida da seo viva da tenso. O
lado primrio da fonte de energia (pos. 105), incluindo o dissi-
pador de calor, carrega a tenso viva dos os principais quando
voc conecta o aparelho nos os principais (mesmo quando o
aparelho est desligado!). possvel tocar nas trilhas e nos
componentes de cobre nesta rea preliminar desprotegida,
quando voc prestar servios de manuteno no aparelho.
O pessoal de servio deve tomar precaues para evitar tocar
esta rea ou componentes desta rea. Um lightning stroke e
uma listra marcada impressa no painel de ao, indica o lado
preliminar da fonte de alimentao.
Nunca substitua mdulos ou componentes enquanto a unidade
estiver ligada.
2.2.2 Laser
O uso de instrumentos pticos com este produto ir aumentar o
perigo de atingir o olho.
Apenas o pessoal de servio qualicado pode remover a tampa
ou tentar prestar servio de manuteno a esse dispositivo,
devido a possvel ferimento nos olhos.
A manipulao do reparo deve ocorrer tanto quanto possvel
com um disco carregado dentro do aparelho
O texto abaixo colocado dentro da unidade, no protetor de
tampa do laser:
Figura 2-2
2.2.3 Notas
Dolby
Manufaturado sob licena do Dolby Laboratories. "Dolby", "Pro
Logic" e o smbolo duplo-D so marcas resgistradas do Laborat
rio Dolby.
1992-1997 Laboratrio Dolby, Inc. Todos os direitos reservados.

Figura 2-3
Trusurround
TRUSURROUND, SRS e o smbolo (g. 2-4) so marcas registra
das do Laboratrio SRS, Inc. A tecnologia TRUSURROUND
manufaturada sob licen do laboratrio SRS, Inc.
Figura 2-4
CLASS 1
LASER PRODUCT
CAUTION VISIBLE AND INVISIBLE LASER RADIATION WHEN OPEN AVOID EXPOSURE TO BEAM
ADVARSEL SYNLIG OG USYNLIG LASERSTRLING VED BNING UNDG UDSTTELSE FOR STRLING
ADVARSEL SYNLIG OG USYNLIG LASERSTRLING NR DEKSEL PNES UNNG EKSPONERING FOR STRLEN
VARNING SYNLIG OCH OSYNLIG LASERSTRLNING NR DENNA DEL R PPNAD BETRAKTA EJ STRLEN
VARO! AVATTAESSA OLET ALTTIINA NKYVLLE JA NKYMTTMLLE LASER STEILYLLE. L KATSO STEESEEN
VORSICHT SICHTBARE UND UNSICHTBARE LASERSTRAHLUNG WENN ABDECKUNG GEFFNET NICHT DEM STRAHLAUSSETSEN
DANGER VISIBLE AND INVISIBLE LASER RADIATION WHEN OPEN AVOID DIRECT EXPOSURE TO BEAM
ATTENTION RAYONNEMENT LASER VISIBLE ET INVISIBLE EN CAS DOUVERTURE EXPOSITION DANGEREUSE AU FAISCEAU
2. Informaes de segurana, Notas Gerais & Exigncia de Sem Clumbo
5 DVDR3455
Vdeo Plus
"Video Plus+" e "Plus Code" so marcas registradas do Gemstar
Development Corporation. O sistema "Video Plus+" fabricado
sob a licena da Gemstar Development Corporation.
Figura 2-5
Microvision
Este produto incorpora tecnolgia de proteo de cpia que
o metdo de proteo exigido da certicado U.S de patentes e
outros proprietrios intelectuais da prpria Macrovision Corpora-
tion.
O uso desta tecnolgia de proteo de cpia deve ser autorizada
pela Macrovision Corporation e permitido para casa e outros
limites somente com autorizao da Macrovision Corporation. A
desmontagem probida.
2.3 Solda sem chumbo
A Philips CE est produzindo aparelhos sem chumbo (PBF) de
1.1.2005 para frente.
Identicao: A linha principal de um tipo de prato d um nmero
de srie de 14 dgitos. Os dgitos 5 e 6 referem-se ao ano de
produo, os dgitos 7 e 8 referem-se semana de produo (no
exemplo abaixo, 1991 na semana 18).
Apesar do logo especial sem chumbo (que nem sempre indi-
cado), ONE MUST TREAT todos os aparelhos de sua data pra
frente de acordo com as regras descritas abaixo.

Com a tecnologia sem chumbo, algumas regras devem ser respei-
tadas pelo workshop durante o reparo:
Use apenas ferramentas de solda sem chumbo Philips SAC305
com o cdigo de pedido 0622 149 00106. Se a pasta de solda sem
chumbo necessria, por favor contate o fabricante do equipa-
mento de solda. No geral, o uso de pasta de solda em workshops
deve ser evitada pois a pasta no facilmente manuseada nem
armazenada.
Use apenas ferramentas de solda aplicveis para ferramenta de
solda sem chumbo. A ferramenta de solda deve:
- Alcanar na ponta da ferramenta a temperatura de pelo menos
400
o
- Estabilizar o ajuste de temperatura na ponta da solda.
- Troque a ponta de solda para diferentes aplicaes.
Ajuste sua ferramenta de solda para que a temperatura de 360
o

- 380
o
seja alcanada e estabilizada na juno da solda. O tempo
de aquecimento da juno da solda no deve exceder ~ 4s. Evite
temperaturas acima de 400
o
, ou ento "wear-out" das pontas
ir aumentar drasticamente e o uxo- uido ser destrudo. Para
evitar "wear-out" de pontas, desligue o equipamento no usado
ou reduza a temperatura.
Misturar parte/ ferramenta de solda sem chumbo com partes/
ferramentas de solda com chumbo possvel mas a PHILIPS
recomenda que se evite isso. Se no puder ser evitado, cuidado-
samente limpe a solda da antiga ferramenta e re-solde com uma
nova ferramenta.
Use apenas peas originais listadas no Manual de Servio. Mate-
riais padro no listados (comodities) devem ser comprados em
companhias externas.
Informaes especiais para ICs BGA sem chumbo: estes ICs
sero entregues no chamado pacote a seco para proteger o IC
contra umidade. Este pacote s pode ser aberto pouco antes de
ser usado (soldado). Ou ento o corpo do IC ca molhado dentro
e durante o tempo de aquecimento a estrutura do IC ser destru-
da por causa da alta temperatura dentro do corpo. Se o pacote for
aberto antes do uso,, o IC deve ser esquentado por algumas horas
(em torno de 90
o
) Para secar (pense na proteo ESD!). NO RE-
USE BGAs de modo algum!
Para produtos produzidos ante de 1.1.2005, contendo ferramenta
de solda com chumbo e componentes, toda a lista de peas ser
avaliada at o m do perodo de servio. Para reparo destes
aparelhos, nada muda.
No website www. atyourservice.ce.Philips.com voc encontra mais
informaes sobe:
(De) Solda BGA (+ instrues de operao bancria).
Pers de aquecimento dos BGAs e outros ICs usados em apare-
lhos Philips.
Voc encontra estas e mais informaes tcnicas em magazine,
captulo workshop news.
Para questes adicionais, por favor, contate o help desk local.
3. Instruo de Uso
Veja o Manual no GIP.
6 DVDR3455
4.2 Desmontagem do Motor Bsico (Drive D4.5
aberto)
1) Remova os 7 parafusos para soltar a tampa superior 240 .
2) Remova os 4 parafusos para soltar o Motor Bsico
1001 como mostra a Figura 4-3.
Figura 4-3: Remova o Motor Bsico
3) Posio de Servio do Motor Bsico como mostra a Fig. 4-4.
Figura 4-4: Posio de Servio do Motor Bsico
Nota : Os nmeros de posio dados aqui refere-se a Vista Explodida
no captulo 9.
4.1 Desmontagem da Tampa da Bandeja do DVD
manualmente
1) Insira uma chave de fenda no vo na parte inferior do
aparelho e empure na direo como mostra a fig. 4-1
para destravar antes de retirar o carregador 1001.
Figura 4-1: Retirando a Tampa da Bandeja
2) Remova a Tampa da Bandeja 110 como mosta a fib. 4-2.
Figura 4-2: Remova a Tampa da Bandeja
4. Instrues Mecnicas
Insulation
Sheet
7 DVDR3455
4.3 Desmontagem do Painel Frontal
1) Remova os parafusos como indicado para soltar o prato frontal
do carregador 182 do chassis 0920 como mostra a Figura 4-5
e tambm a segurana do painel Frontal.
Figura 4-5: Solte o Painel Frontal
2) Posio de Servio do Painel Frontal como mostra a Fig. 4-6.
Figura 4-6: Posio de Servio Painel Frontal
Nota: Quanto a traseira do Painel Frontal, por favor lembre-se
do parafuso terra conectado do Painel para o chassis distribuir o
ESD como mostra a Figura 4-7.
Figura 4-7: Painel Frontal terra para Chassis terra
4.4 Desmontagem do Painel Digital
1) Remova os 4 parafusos para soltar o painel Digital 1013
como mostra a Figura 4-8.
Figura 4-8: Remova o Painel Digital
2) Posio de Servio dado na Figura 4-9.
Figura 4-9: Painel Digital Posio de Servio
Folha Iso-
lante
Folha iso-
lante
8 DVDR3455
4.5 Desmontagem do Painel Analgico
1) Remova os parafusos traseiro do painel 230 para soltar
o Painel Analgico.
2) Posio de Servio do Painel Analgico dado na Figura 4-10.
Figura 4-10: Painel Analgico Posio de Servio
4.6 Desmontagem do Painel PSU
1) Remova os 3 parafusos para soltar o Painel PSU 1002
como mostra a Figura 4-11.
Figura 4-11: Remova os parafusos do PSU
2) Posio de servio para Painel PSU dado na Figura 4-12.
Figura 4-12: Painel PSU Posio de Servio
Folha Iso-
lante
Folha Iso-
lante
9 DVDR3455
5. Atualizando Firmware & Software de Diagnstico
5.1 Atualizando Firmware
A. Preparao para atualizar firmware
1. Unzip o arquivo zip.
2. Inicie o software da gravao do CD e crie um novo projeto CD (disco de dados) com as seguintes funes:
Sistema de arquivo: Joliet
Formato: MODE 2: CDROM XA
Modo de gravao: SEO SIMPLES (FAIXA NICA), CD FINALIZADO
NotA: Um nome de arquivo longo necessrio para a preparao da atualizao do disco.
3. Coloque o contedo do arquivo-zip dentro da raiz do diretrio do novo projeto CD.
4. Grave os dados em um CDR ou CD-RW virgem.
B. Procedimento para aplicar atualizao de firmware
1. Abra a bandeja e carregue a Atualizao do CDROM.
2. A bandeja fecha e o aparelho mostrar:
FLASH1
3. O OSD mostrar:
Software Upgrade Disc detected. Select OK to start upgrading or CANCEL to exit.
4. Clique na tecla <OK> .
5. O aparelho mostrar:
Upgrading Software . Please Wait . Do not switch off the power .
Far a Atualizao para o carregador e OSD mostrar.
Loader Software Upgrade
Loader Software Upgrading . Please Wait .Do not switch off the power.
O processo inteiro leva mais ou menos 5 minutos.
Nota: No pressione nenhuma tecla ou interrompa a alimentao durante o processo de atualizao,
pois o aparelho pode apresentar defeito
6. Quando a atualizao estiver completa a bandeja abrir automaticamente e o aparelho mostrar:
Loader Upgrade process has completed successfully . Press <OK> to reboot system.
7. Com a bandeja aberta e o aparelho mostrar:
DRV OK
8. Pressione <OK> e o aparelho ir para standby.
*Nota : 1) O contedo do HDD(i.e. as gravaes de vdeo os arquivos e/ou MP3 no sero apagados durante
o processo de atualizao do firmware.
10 DVDR3455
5.2 Preparando para novo HDD
Se os defeitos devido a falhas no HDD, deve ser trocado oo aparelho com um novo HDD. O
procedimento abaixo mostra os passo para preparar um novo HDD para usar no aparelho.
1. Troque o HDD defeituosos por um novo HDD.
2. Antes conecte o novo HDD no Painel Digital, o pino jumper do conector do HDD deve ser removido.
3. Conecte o novo HDD no Painel Digital.
4. Ligue o aparelho. Abr a bandeja e rapidamente carregue o disco atualizado. (o disco atualizado o
mesmo disco acima com firmware atualizado).
5. O aparelho mostra.
Load.
6. Coloque o disco atualizado e feche a bandeja, o aparelho mostrar
Copy Boot
7. O resto do procedimento segue a atualizao do software dado no 1B -Procedimento para
aplicar atualizao do firmware.
*Nota :1) No pressione nenhuma tecla ou interrompa a alimentao, seno o aparelho pode apresentar defeito.
2) Quando a formatao do HDD terminar com sucesso, o aparelho ir para o modo standby.
5.3 Verificao do Firmware
Como sair da leitura da verso firmware para confirmar a atualizao:
1. Ligue o aparelho
2. Pressione <setup> <3> <2> <1> na sequncia correta.
3. Pressione a tecla <select>
4. O TV conectado mostrar:
BUILD :B5019V04/Date:May 10 2006
Loader Version : 45.04.05.04
Macrovision Version :
MPEG Chip ID:
Audio DAC:
Region Num:1
Developer:Philips PS3455H 55 R19.04
YesDVD Version :YR.2.1.2.30306
5. Pressione a tecla <Setup> para sair.
11 DVDR3455
DIAGRAMA EM BLOCO
Front Keyboards
AUDIO PCM I2S
AUD_BCKI
AUD_WCKI
AUD_DAT(0))
AUD_SPDIF0
AUDIO ENCODER I2S
ANALOG AUDIO / VIDEO
D_CVBS
D_Y
D_C
D_VR
VOA_GY
VOA_BPb
VIA_GY Br
VIA_BPb
VIA_RPr
VIA_SC_FR
TUNER
INPUT/OUTPUT
PROCESSING &
SOURCE
SELECTION
ANALOG BOARD
2
1
AR
AL
CVBSFIN
CFIN
YFIN
3
4
5
7
9
1300
1201
1102
1205
1600
1536
S-VIDEO
CVBS
AUDIO R
AUDIO L
AINFR
AINFL
CVBSFIN
CFIN
YFIN
ADC
7
9
11
12
13
14
15
12
14
16
18
20
22
DDRAM
FLASH
VIDEO INPUT
PROCESSING
DIGITAL AUDIO
RS232 1671
SERVICE
1111
1522
1551
DIG.VIDEO
2
3
5
16
7
18
1
3
5
7
9
S-VIDEO
CVBS
RF IN - ANTENNA
RF OUT - TV
PHY
DIGITAL AUDIO OUT
DOMINO DMN-8652
MPEG 2, AC3 CODEC
EEPROM
DIGITAL AUDIO
I2C
AUD_MCKI
BUFFER
VIA_SY_FR
DAC AUD_DAT[0]
AUD_WCK0
AUD_BCK0
14
12
11
9
ANALOG VIDEO
1109
CONTROL LINES
CONTROL LINES SCK,D_FM,D_HOST,RDY_FM,ATN_FM,HOST_RESET
IDE BUS
FAN
1112
IDE BUS
OUT 2
DVD+RW ENGINE D4.5
TRAY CONTROL
SERVO
READ
WRITE
DISC
HARD DISK
POWER SUPPLY UNIT
40
40
LASER
1600-1
1571
AUDIO L/R
CONTROL AND COMMUNICATION LINES
1107
(LOOP THROUGH)
CONTROL UNIT SLAVE
MICROPROCESSOR
UPD 16316GB-006
DIGITAL BOARD
B
E
DI
U
S
+12V
GND
GND
+5V
V
2
1
+
D
N
G
D
N
G
V
5
+
IDE BUS
DV-IN
1219
1511
VIA_CVBS_FR
VIA_CVBS_TU
1405
16MbyteX2 2Kbyte
2Mbyte
VIA_CVBS_TU
VIA_SC_RE
VIA_SY_RE
18
10
12
14
AUD_MUTE
AUD_MCK0
H
V
2
1
+
D
N
G
D
N
G
H
V
5
+
V
2
1
+
D
N
G
D
N
G
V
5
+
VGN_STBY
5N_STBY
GND_A
IPFAIL
GND_A
12VA_STBY
VGN_STBY
5N_STBY
GND_A
IPFAIL
GND_A
12VA_STBY
J2
J1
Y
B
T
S
_
V
2
1
D
_
D
N
G
Y
B
T
S
_
V
5
Y
B
T
S
_
3
V
3
D
_
D
N
G
12V_STBY
GND_D
5V_STBY
3V3_STBY
GND_D
1402
1404
USB (1502)
7206
7203
OR
POWER_FAIL
A_KILL
S-VIDEO
UPD 16316GB007-8ET
IN-EXT
OUT1
AUDIO L/R
Y
Pb
Pr
CVBS
AUDIO L/R
1406
VOA_CVBS
VOA_SC
VOA_SY
VOA_RPR
12 DVDR3455
DIAGRAMA DE CONEXES
Analog board PSU
not in layout 8000
FAN1 FAN2 Fan1 Fan2 Mains TBC mm
EH 2.5mm EH 2.5mm (option) VH 7.6mm
1 FAN1P 1 FAN2P 1 MAINS_L
2 FAN1N 2 FAN2N 2 MAINS_N
2p 2p 2p
8013
PSA 180 mm PSA
ANA PH 2mm PH 2mm
1 VGN_STBY 1 VGN_STBY
2 5N_STBY 2 5N_STBY
3 GND_A 3 GND_A
4 IPFAIL 4 IPFAIL
5 GND_A 5 GND_A
6 12VA_STBY 6 12VA_STBY
6p 6p
8012
PS STBY 180mm PS STBY
SDN 3.96mm SDN 3.96mm
1 12V_STBY 1 12V_STBY
2 GND_D 2 GND_D
3 5_STBY 3 5_STBY
4 3V3_STBY 4 3V3_STBY
5 GND_D 5 GND_D
5p 5p
PS DRV
EH 2.5mm
1 12VE
2 GND
3 GND
4 5VE
4p
8006
FAV FCOM COM AIO VIO1 / VIO2 DIG_PS PS HDD 120 mm
FFC 1mm FFC 1mm FFC 1mm FFC 1mm FFC 1mm PH 2mm EH 2.5mm
6 9 FAURIN 14 12Vstby 17 19 GND 18 24 GND 24 30 DB_PB 1 3V3D 1 12VH
5 8 GND 13 VGN_STBY 16 18 SCL0 17 23 ABCK 23 29 GND 2 3V3D 2 GND
4 7 FAULIN 12 PWRFAIL 15 17 SDA0 16 22 AWCK 22 28 DG_Y 3 3V3D 3 GND
3 6 GND 11 PWRCTL 14 16 RDY_FM 15 21 GND 21 27 GND 4 3V3D 4 5VH
2 5 FCVBSIN 10 5VSTBY 13 15 D_FM 14 20 ADA0 20 26 DR_PR 5 GND
1 4 GND 9 RC - NC 12 14 D_HOST 13 19 GND 19 25 GND 6 12VD
3 FCIN 8 HOSTRST 11 13 GND 12 18 AMCK 18 24 DY 7 GND
2 GND 7 ATN_FM 10 12 FPSCK 11 17 GND 17 23 GND 8 GND
1 FYIN 6 RDY_FM 9 11 ATN_FM 10 16 DBCK 16 22 DC 9 5VD
5 GND 8 10 HOSTRST 9 15 GND 15 21 GND 10 HDD_ON
4 D_HOST 7 9 AINSW0 8 14 DWCK 14 20 DCVBS 11 GND
3 D_FM 6 8 AINSW1 7 13 DDA0 13 19 GND 12 GND
2 FPSCK 5 7 FB_SCRT 6 12 GND 12 18 TUCVBS
1 GND 4 6 8SC2_1 5 11 DMCK 11 17 GND
3 5 8SC2_2 4 10 GND 10 16 RCVBSIN
2 4 GND or YUV SW* 3 9 SPDIFO 9 15 GND
1 3 tun_det 2 8 GND 8 14 RYIN
2 HDMI_INT 1 7 AMUTE 7 13 GND
1 fan_on 6 GND 6 12 RCIN
5 DDA3 5 11 GND
4 GND 4 10 FCVBSIN
3 DDA2 3 9 FCIN
2 GND 2 8 GND
1 DDA3 1 7 FYIN
6 GND
24P not in rec 5 AR_PR
layout 4 GND
3 AB_PB
2 GND
1 AG_Y
9p 14p 19p 18p / 24p 24p / 30p 12p 4p
8011 8008 8004 8003 8005 8002
280 mm 280 mm 180 mm 180 mm 140 mm 220mm
Front board Digital board HDD 8007
8010 220 mm
FAV FCOM COM AIO VIO1 / VIO2 DIG_PS HDD_IDE 280 mm HDD_IDE
FFC 1mm FFC 1mm FFC 1mm FFC 1mm FFC 1mm PH 2mm IDE 2.54mm IDE 2.54mm
9 FYIN 1 12V 1 GND 1 GND 1 DB_PB 1 3V3D 1 RSTN 21 DMARQ 1 RSTN 21 DMARQ
8 GND 2 VGNSTBY 2 SCL0 2 ABCK 2 GND 2 3V3D 2 GND 22 GND 2 GND 22 GND
7 FCIN 3 PWRFAIL 3 SDA0 3 AWCK 3 DG_Y 3 3V3D 3 DD[7] 23 DIOWN 3 DD[7] 23 DIOWN
6 GND 4 STBY 4 RDY_FM 4 GND 4 GND 4 3V3D 4 DD[8] 24 GND 4 DD[8] 24 GND
5 FCVBSIN 5 5V 5 D_FM 5 ADA0 5 DR_PR 5 GND 5 DD[6] 25 DIORN 5 DD[6] 25 DIORN
4 GND 6 GND 6 D_HOST 6 GND 6 GND 6 12VD 6 DD[9] 26 GND 6 DD[9] 26 GND
3 FAULIN 7 HOSTRST 7 GND 7 AMCK 7 DY 7 GND 7 DD[5] 27 IORDY 7 DD[5] 27 IORDY
2 GND 8 ATN_MCU 8 FPSCK 8 GND 8 GND 8 GND 8 DD[10] 28 CSEL 8 DD[10] 28 CSEL
1 FAURIN 9 RDY_MCU 9 ATN_FM 9 DBCK 9 DC 9 5VD 9 DD[4] 29 DMACKN 9 DD[4] 29 DMACKN
10 GND 10 HOSTRST 10 GND 10 GND 10 HDD_ON * 10 DD[11] 30 GND 10 DD[11] 30 GND in 3455H IN 3380
11 D_HOST 11 AINSW0 11 DWCK 11 DCVBS 11 GND 11 DD[3] 31 INTRQ 11 DD[3] 31 INTRQ in wiring diag digi bd ana bd
12 D_MCU 12 AINSW1 12 DDA0 12 GND 12 NC 12 DD[12] 32 IOCS16 12 DD[12] 32 IOCS16 DIG_PS DIG_PS DIG_PS
13 SCK 13 FB_SCRT 13 GND 13 TUCVBS 13 DD[2] 33 DA1 13 DD[2] 33 DA1 PH 2mm PH 2mm PH 2mm
14 GND 14 8SC2_1 14 DMCK 14 GND * nc for DVDR3380 14 DD[13] 34 PDIAGN 14 DD[13] 34 PDIAGN 1 3V3D 1 3V3D 1 3V3D
15 8SC2_2 15 GND 15 RCVBSIN 15 DD[1] 35 DA0 15 DD[1] 35 DA0 2 3V3D 2 3V3D 2 3V3D
16 GND or YUV SW* 16 SPDIFO 16 GND 16 DD[14] 36 DA2 16 DD[14] 36 DA2 3 3V3D 3 3V3D 3 3V3D
17 tun_det 17 GND 17 RYIN 17 DD[0] 37 CS0N 17 DD[0] 37 CS0N 4 3V3D 4 3V3D 4 3V3D
18 HDMI_INT 18 AMUTE 18 GND 18 DD[15] 38 CS1N 18 DD[15] 38 CS1N 5 GND 5 GND 5 GND
19 fan_on 19 GND 19 RCIN 19 GND 39 DASPN 19 GND 39 DASPN 6 12VD 6 12VD 6 12VD
20 DDA3 20 GND 20 Keypin 40 GND 20 Keypin 40 GND 7 GND 7 GND 7 GND
21 GND 21 FCVBSIN 8 GND 8 GND 8 GND
* stuffing opt 22 DDA2 22 FCIN 9 5VD 9 5VD 9 5VD
23 GND 23 GND 10 HDD_ON 10 HDD_ON 10 NC
24 DDA3 24 FYIN 11 GND 11 GND 11 GND
25 GND 12 GND 12 NC 12 NC
26 AR_PR
27 GND
28 AB_PB
29 GND
30 AG_Y
9p 14p 19p 18p / 24p 24p / 30p 12p 40p 40p
1401
USB 180 mm USB HDD_PS
FRONT PH 2mm PH 2mm IDE_PSU
1 USB5V 1 USB5V 1 12VH
2 USBP 2 USBP 2 GND
3 USBM 3 USBM 3 GND
4 GND 4 GND 4 5VH
4p 4p 4p
1501
STBY IEEE1394 180 mm IEEE1394
DIPMATE PH 2mm PH 2mm
1 KEY1 1 TPBN 1 TPBN
2 KEY2 2 TPB 2 TPB
3 GND 3 GND
4 TPAN 4 TPAN
5 TPA 5 TPA
6 GND 6 GND
2p 6p 6p DIGI
ODD
8009
8100 ODD_IDE 220 mm ODD_IDE
220 mm IDE 2.54mm IDE 2.54mm
1 RSTN 21 DMARQ 1 RSTN 21 DMARQ
2 GND 22 GND 2 GND 22 GND
3 DD[7] 23 DIOWN 3 DD[7] 23 DIOWN
4 DD[8] 24 GND 4 DD[8] 24 GND
5 DD[6] 25 DIORN 5 DD[6] 25 DIORN
6 DD[9] 26 GND 6 DD[9] 26 GND
7 DD[5] 27 IORDY 7 DD[5] 27 IORDY
8 DD[10] 28 CSEL 8 DD[10] 28 CSEL
9 DD[4] 29 DMACKN 9 DD[4] 29 DMACKN
10 DD[11] 30 GND 10 DD[11] 30 GND
11 DD[3] 31 INTRQ 11 DD[3] 31 INTRQ
12 DD[12] 32 IOCS16 12 DD[12] 32 IOCS16
13 DD[2] 33 DA1 13 DD[2] 33 DA1
14 DD[13] 34 PDIAGN 14 DD[13] 34 PDIAGN
15 DD[1] 35 DA0 15 DD[1] 35 DA0
16 DD[14] 36 DA2 16 DD[14] 36 DA2
17 DD[0] 37 CS0N 17 DD[0] 37 CS0N
18 DD[15] 38 CS1N 18 DD[15] 38 CS1N
19 GND 39 DASPN 19 GND 39 DASPN
20 Keypin 40 GND 20 Keypin 40 GND
40p 40p
Standby board
STBY PS ODD
DIPMATE IDE_PSU
1 KEY1 1 12VE
2 KEY2 2 GND
3 GND
4 5VE
2p 4p
13 DVDR3455
PAINEL ANALGICO - FORMAS DE ONDAS
I143 Y_OUT I142 C_OUT I144 CVBS_OUT I137 D_C
I138 D_Y I139 D_VR I140 D_YG I141 D_UB
I213 AIA_R_RE1/AIA_R_RE2 I212/I214 I241 ALDAC (PIN 18 7206) I242 (PIN 15 7206)
I150 DIGITAL_OUT 7304MSP XTAL_IN 7304MSP XTAL_OUT
14 DVDR3455
PAINEL DIGITAL - FORMAS DE ONDAS
AUD_BCKI T537 AUD_BCKO T541 AUD_DAI(0) T539 AUD_DAO(0) T543
AUD_MCKI T540 AUD_MCKO T544 AUD_WCKI T538 AUD_WCKO T542
VOA_RPr T521 VOA_BPb T518
VOA_CVBS T524
VOA_GY T520
VOA_SC T523
VOA_SY T522
15 DVDR3455
PAINEL ANALGICO- LAYOUT
3380_APAC_TPOINT.pdf 2006-05-03
16 DVDR3455
PAINEL DIGITAL- LAYOUT
DVDR3455D_TestPoint.pdf 2006-05-25
17 DVDR3455
ESQUEMA ELTRICO- PAINEL ANALGICO - ENTRADA/SADA DE VDEO
F
G
H
I
1111-A D2
1111-B C2
1111-C E2
1112 F2
1113 G2
1114 F2
1121 I6
2141 H9
2142 H11
2143 I11
2151 C12
2152 D12
2153 D12
2154 G12
2155 I12
2156 I12
3111 D4
3112 E4
1131-2 F13
Rear YC in
Pb out - Blue
1132-C D13
%
1
1132-B B13
Front A/V in
1132-A C13
1122 I6
1131-1 H13
D
E
F
G
H
I
A
B
C
D
E
11
#8: Used for 3380 and 3390
9 10 1 2 3 4 5 6 7 8
2139 F11
2140 G9
4113 G5
4114 G5
4115 E5
4116 G5
4117 H5
4118 H5
4119 H5
4121 B11
4122 D11
4123 E9
4124 F11
3114 I4
3115 I4
CVBS out
#0: Not used
%
1
3113 E4
B
C
3122 B8
3123 B9
3124 C11
3125 D10
3126 D10
3127 E9
3128 E8
3129 E9
3130 F10
3131 F10
3132 F11
2137 E9
2136 E9
2138 F11
2134 D11
2133 C11
2135 D11
2132 C10
4111 C5
4112 D5
I141 H8
I142 D12
I143 D12
I144 B12
I145 H13
I146 F13
I147 H13
I148 H13
I149 F2
I150 F13
%
1
3116 I4
3121 B9
I112 D3
I113 E3
I114 F3
I115 F3
I116 G3
I117 G3
I118 G3
I119 G3
I120 H2
I121 H2
I123 H2
6112 E4
5101 B4
6111 E3
Rear CVBS in
%
1
5102 B6
4125 G9
4126 H11
I139 H8
I140 H8
Y
I124 H2
I125 H6
I126 H6
I127 H6
I128 H6
I129 H6
I130 H7
I131 H7
I132 H7
Pr out - Red
I103 B7
I111 C2
I151 E13
C
%
1
I136 H7
I134 H7
%
1
I138 H8
I135 H7
I133 H7
Y out - Green
C
6121 D12
6122 D12
6123 D11
6124 D12
7111 B9
7112 C10
7113 E9
7114 F10
7115 G9
7116 H10
I102 B5
3133 G9
%
1
Tuner in
3135 H9
3134 H8
3138 I11
3137 H10
3136 I10
I137 H7
14
1 2 3 4 5 6 7 8 9 10
#4: Used for 3400
6113 E3
6114 E4
11 12
from / to Digital Board
Video input / output
Digital out - Black
Y/C out
%
1
Rear YUV in
Y
12 13
2101 B4
2102 B4
2103 B6
2104 B6
2111 C3
2112 E3
2113 E3
2114 E4
2115 I3
2116 I3
2117 I3
2121 C5
2131 B10
14
A
13
%
1
GND
I115
2
1
1
2
p
0
0
1
2
1
1
3
R
5
7
GND
GND
R
0
6
1
4
3
1
3
9
3
1I
5V 5V_V
5101
10u
5V_V
6
3
1
3
R
0
6
1
100n
2131
2132
100n
2
5
1
2
p
0
0
1
150R
GND
GND
3121
150R
3137
R
0
3
3
2
3
1
3
GND
7
6
5V_V
4123
3
4
5
1132-B
MSP-801V1-02-01-B NI FE LF
MSP-801V1-02-01-B NI FE LF
1132-A
1
2
p
0
0
1
1
1
1
2
4121
R
5
7
5
1
1
3
4119
2
2
1
3
R
0
6
1
2141
100n
GND
GND GND
R
0
3
3
9
2
1
3
2
1
C-
4
8
3
X
Z
B
3
2
1
6
3
0
1
2
V
6
1
u
0
1
I151
I150
5VN_V
I117
I112
I113
4124
R
0
3
3
8
3
1
3
p
0
0
1
5
1
1
2
GND
150R
3127
5VN_V
p
0
0
1
1
5
1
2
1
4
1I
I143
0
4
1I
4111
GND
4113
4
1
1
2
0
u
1
p
0
0
1
3
1
1
2
4118
4117
GND
7116
BC847BW
5
4
6
GND
3
1
2
MSD-244V-88 NI FE LF
RED_BLACK
1131-2
MSD-244V-88 NI FE LF
GREEN_BLUE
1131-1
I146
4
1
1
6
2
1
C-
4
8
3
X
Z
B
1u0 2121
6
1
1
2
p
0
0
1
5V_V
n
0
0
1
4
0
1
2
5V_V 4
2
1
6
2
1
C-
4
8
3
X
Z
B
I111
100n
2134
4114
GND
100n
2135
4122
I148
2
0
1
2
n
0
0
1
I114
10u
1
0
1
2
5102
5VN_V
GND
V
6
1
u
0
1
3130
5V_V
5VN
3125
150R
150R
GND
R
5
7
3
1
1
3 8
2
1
3
R
0
6
1
7 8 9
GND
GND
5
2
6
2
7
2
8
2
9
2
3 0
3
4 5 6 6
1
7
1
8
1
9
1
2 0
2
1
2
2
2
3
2
4
2
1
2
1
1
7
C
2-
S
0
3
W
L
H
1 0
1
1
1
2
1
3
1
4
1
5
1
GND
2
1
C-
4
8
3
X
Z
B
3
1
1
6
4112
I145
GND
p
0
0
1
3
5
1
2
p
0
0
1
7
1
1
2
6
7
8
9
I118
1
2
3
4
5
4115
1112
HLW9S-2C7
6
I103
1
2
3
4
5
8
1113
LPR6520-P910F
GND
MSP-801V1-02-01-B NI FE LF
1132-C
7114
BC847BW
7
2
1 I
2
1
1
6
2
1
C-
4
8
3
X
Z
B
8
3
1I
R
5
7
6
1
1
3
2
1
C-
4
8
3
X
Z
B
1
1
1
6
5V_V
4 5 6 7 8 9 8
1
9
1
2 0
2
1
2
2
2
3
2
4
2
3 1 0
1
1
1
2
1
3
1
4
1
5
1
6
1
7
1
4
2
1
3
R
0
3
3
7
C
2-
S
4
2
W
L
H
2
2
1
1
GND
RES
BC847BW
7115
2
1
C-
4
8
3
X
Z
B
2
1
C-
4
8
3
X
Z
B
1
2
1
6
4116
2
2
1
6
GND
GND
GND
2133
100n
1
3
1 I
GND
4125
6
5
1
2
100n
2140
p
0
0
1
7
3
1 I
I142
5
3
1I
6
3
1I
I102
5
5
1
2
p
0
0
1
I144
GND
3133
150R
100n
2138
7111
BC847BW
6
1
3
1
3
R
0
6
1
1
2
3
4
5
4
5
1
2
p
0
0
1
1114
HLW6S-2D7LF
I147
5VN_V
GND
GND
4126
GND
I124 0
3
1I
4
3
1I
BC857BW
7112
GND
3
3
1I
MSP-801V1-02-01-B NI FE LF
1
2
3
4
5
7
6
1111-A
GND
MSP-801V1-02-01-B NI FE LF
1111-B
2139
100n
R
5
7
4
1
1
3
GND
GND
GND
R
5
7
1
1
1
3
GND
GND
GND
5
3
1
3
R
0
3
3
I149
GND
GND
5
2
1I
2
3
1I
2142
100n
7113
BC847BW
I120
R
0
3
3
3
2
1
3
I119
R
0
6
1
6
2
1
3
I121
I123
9
2
1I
8
2
1I
2143
100n
2136
6
2
1 I
100n
1111-C
MSP-801V1-02-01-B NI FE LF
GND
8
5VN_V
2137
100n
VIA_GY
GND
5VN_V
5VN_V
I116
VO_SY
FR_CVBS_IN
AIA_L_FR
AIA_R_FR
RE_SY_IN
RE_SC_IN VIA_SC_RE
VIA_SC_FR
VIA_RPr
VIA_BPb
DIGITAL_AUDIO
RE_CVBS_IN
RE_Y_IN
RE_Pb_IN
RE_Pr_IN
VO_Pb
VO_Y
V0_CVBS
VO_SC
VOA_GY
VOA_RPr
VOA_SY
VOA_SC
VOA_CVBS
VIA_CVBS_RE
VIA_SY_RE
VIA_CVBS_FR
VIA_SY_FR
FR_SC_IN
FR_SY_IN
VIA_CVBS_TU
VOA_BPb
TUN_CVBS
3139_243_33332_130_1_a2.pdf 2006-03-01
18 DVDR3455
PAINEL ANALGICO UDIO ADC/DAC
EN
DATAO
BCK
WS
VSSA VSSD
VDDD
VINR
SFOR
VINL
MSSEL
VREF
VRN
VDDA VRP
SYSCLK
PWON
SDA
CDIN
CCLK
SCL
AD0
CS
VQ
VBIAS
GND
SDIN
AMUTEC
BMUTEC
AOUTA
AOUTB
DEM
SCLK
LRCK
MCLK
RST
DIF0
DIF1
VL VD VA_H VA
G4
0
3
2
VDD
VEE VSS
1
0
3
2
1
4X
0
3
0
1
G
H
I
1201 D2
10 11 12 13
5 6 7 8 9 10 11 12 13
A
B
C
D
E
F
G
H
I
A
B
C
D
E
F
2210 D4
2211 D4
2212 D4
2231 B7
1202 C2
Audio-L out
1 2 3 4 5 6 7 8 9
2244 D10
2245 C11
2246 C11
2247 C12
1 2 3 4
Audio input analog (AIA)
)
D
OI
A(
l
a ti
g i
d
t
u
p t
u
o
/
t
u
p
ni
o i
d
u
A
1203 F1
1205 E12
2201 D3
2202 D3
2203 D3
2204 D3
2205 C4
2206 C4
2207 C4
2208 C4
2209 C4
2265 G3
2266 G4
2271 F8
2272 F8
2232 C7
2233 D7
2234 D7
2235 B9
Rear audio in 1
Audio-R out
2236 B9
2237 C9
2238 C9
2241 C9
2242 D9
2243 D10
2295 B5
2296 B5
3201 D5
3202 D5
2251 F2
2252 F3
2253 F4
2254 E4
2255 F4
2256 F5
2257 F5
2258 F6
2259 F6
2260 F6
2261 G6
2262 G3
2263 G3
2264 G3
3216 D10
3217 D11
3221 F1
3222 F1
2273 G8
2274 G8
2275 F11
2276 G11
)
D
OI
A(
l
ati
gi
d
t
u
pt
u
o
/
t
u
p
ni
oi
d
u
A
2281 H4
2282 I5
2283 I6
2284 I8
2291 B2
2292 B2
2293 B3
2294 B4
3237 G2
3238 G2
3239 H2
3241 F8
3203 D5
3204 D5
3205 D6
3206 D6
2422 026 05383
3207 D6
3208 D6
3211 C8
3212 D8
3213 C9
3214 D9
3215 C10
3260 I3
3261 H3
3262 I4
3263 I5
3223 F2
3224 E3
3225 G4
3226 G4
3227 G4
3228 G6
3229 F5
3231 G2
3232 G2
3233 G2
3234 G2
3235 G2
3236 G2
6204 F10
6205 G10
7201 C7
7202-1 D8
3242 F8
3243 G8
3244 G8
3245 F9
3246 F10
3247 G9
3248 G10
3249 F11
3250 G11
3251 H7
3252 H7
3253 I9
3254 I9
I206 D11
I211 C2
I212 C2
I213 C2
3264 I5
3265 B11
5201 B2
5202 B3
5203 B5
5211 B11
5212 E5
6201 I7
6202 F9
6203 H9
I242 F12
I243 F12
7202-2 C8
7203 C12
7204 F2
7205 F3
2422 026 05462
Rear audio in 2
d r
a
o
B l
a ti
g i
D
ot /
m
o r f
7206 G5
7207 F9
7208 F10
7209 G9
7210 G10
7211 H7
7212 H8
7214 H4
I201 B2
I202 B4
I203 B5
I204 F4
I205 F5
I214 D2
I215 C2
I221 G1
I222 G1
Audio ADC / DAC
I223 G1
I224 G1
I225 G1
I226 G1
I227 G1
I228 G1
I229 G1
I230 H1
I231 H1
I241 F12
2K2
3245
22R
5V_A
6.3V
3233
2254 100u
4
5
p
0
0
1
6
7
2
2
3
1
2
6
LPR6520-J440G
1205
I229
K
0
0
1
4
0
2
3
100R
3250
2
7
2
2
0
n
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
1203
HLW18S-2C7
1
K
0
0
1
1
0
2
3
GND
p
3
3
3
6
2
2
3
1
2
GND
LPR6520-J920G
1201
4
9
2
2
n
0
0
1
3V3_A
I241
GND
I231
I221
2233 47u 6.3V
100n 2234
BAS316
1u0 2209
6202
2271
D
N
G
5V_A
22u 16V
p
0
0
1
4
0
2
2
3V3_A
3V3_A
I206
u
0
0
1
1
1
2
5
I205
2
3
1
5
4
7214
74LVC1G125GW
5V
12V
K
0
0
1
2
0
2
3
p
3
3
2
6
2
2
6.3V
2242
47u
n
0
0
1
6
4
2
2
3243
680R
1u0 2208
3235
2212 1u0
22R
100K 3225
100n 2256
GND
1
1
2
3
0
K
1
22n 2259
5VN_A 5VN
1
3
2
1K0
3223
3
4
2
2
7210
BC817-25W
n
0
0
1
22R 3237
3V3_A
3249
I230
100R
5VN_A
I228
GND
2235 47u 6.3V
13
22R 3231
4
10
2
1
1
1
7
1
12
5
0
2
7206
19
18
15
14
9
8
7
6 6
1
3
CS4351
192 kHz DAC

100n 2236
0
K
1
7
1
2
3
9
1
3
2
4
5
5
1
0
1
12
UDA1361TS
ADC

24-BIT AUDIO
7203
11
13
14
7
6
8
6
1
GND
I227
GND
I226
p
3
3
4
6
2
2
n
0
0
1
2
9
2
2
BC857BW
7207
GND
7205
1
3
2
V
3.
6
u
7
4
4
4
2
2
BC807-25W
5VN_A
K
0
0
1
3
0
2
3
16V 47u 2260
GND
GND
3V3
V
6
1
u
7
4
3
5
2
2
GND
GND
K
5
1
4
4
2
3
3232
GND
I204
10K
22R
3214
5V_A
K
0
1
8
2
2
3
0
n
1
4
7
2
2
5
0
2
3
K
0
0
1
K
0
0
1
8
0
2
3
5V_A
3241
680R
2281 100n
3239 22R
6201 BAS316
3260
4
I243
1K0
LM833
7202-1
NULL
3
2
1
8
GND
GND
K
0
0
1
6
0
2
3
470R 3261
1u0
3V3_A
GND
2207
2232 100n
p
0
0
1
5
7
2
2
47u 2258 16V
p
0
0
1
2
0
2
2
I214
GND
GND
GND
K
5
1
7
4
2
2
n
0
0
1
2
4
2
3
3
2 K
0
0
1
4
5
2
3
PDTC124EU
7211
1
p
3
3
5
6
2
2
5V
5VSTBY
4K7
3251
I224
5
15
2
11
4
13
3
10
9
6
6
1
7 8
12
1
14
7201
HEF4052B
MDX
10u
5202
3V3_A
10K
3213
BC847BW
1
3
2
GND GND
7204
GND
2205 1u0
6203
BAS316
GND
100n 2238
9
K
3
2K2
3247
4
2
2
3
n
0
0
1
1
5
2
2
I242
1u0 2211
100n 2255
2257 1K0
3246
100n
I223
I222
I213
2206 1u0
p
0
0
1
1
0
2
2
100n 2261
K
7
4
6
1
2
3
1u0 2210
5VN_A
GND
GND
0
K
1
2
1
2
3
3238
GND
5VN_A
22R
2282 8n2
4
8
2
2
n
2
2
BC817-25W
1
3
2
7212
1
3
2
GND
7208
7
u
4
PDTA124EU
6
6
2
2
I211
I212
2237 47u 6.3V
I215
K
7
4
5
1
2
3
7
0
2
3
1
9
2
2
V
6
1
u
0
1
K
0
0
1
5201
10u
3
5
2
3
K
2
2
2273
3262
16V 22u
75R
n
0
0
1
2
5
2
2
3248
1K0
3V3_A
I225
R
2
2
5
6
2
3
I202
5VSTBY
5V_A
GND
I201
6205
BAS316
6204
BAS316
R
0
5
1
4
6
2
3
GND
7209
BC857BW
V
3.
6
0
m
1
5
4
2
2
22K
3252
K
0
1
2
2
2
3
GND
GND
47u
2241
7
8
4
6.3V
LM833
NULL
7202-2
5
6
3263
GND
120R
K
0
1
7
2
2
3
V
6
1
u
0
1
3
9
2
2
22R 3234
2
1
2
5
u
2
2
p
0
9
3
GND
GND
3
8
2
2
I203
9
2
2
3
7
R
4
5VSTBY
6.3V 47u 2231
3236 22R
6
2
2
3
K
0
1
GND
V
6
1
n
0
0
1
6
9
2
2
5
9
2
2 u
0
1
6
4
5
5203
10u
1202
LPR6520-J440G
3
1
2
GND
GND
4K7
3221
GND
3
0
2
2
p
0
0
1
AOD_WCK
AOD_MCK
AID_WCK
AID_BCK
AID_MCK
AID_DAT
AID_DAT
AIA_R_RE2
AIA_L_RE2
AIA_R_RE1
AIA_L_FR
AIO_MUTE
DIGITAL_AUDIO
AIA_L_RE1
AOD_SPDIF
AIA_R_FR
AIA_L_MSP
AIA_R_MSP
AIA_R_RE1
AIA_L_RE1
AIA_L_RE2
AIA_R_RE2
AOD_SPDIF
AMUTEC
BMUTEC
AOD_BCK
AOD_WCK
AOD_MCK
AOD_DAT
AID_BCK
AID_WCK
AID_MCK
AOD_BCK
AIO_MUTE
AOD_DAT
AKILL
RSA2
RSA1
POWER_FAIL
3139_243_33332_130_2_a2.pdf 2006-03-01
19 DVDR3455
PAINEL ANALGICO TUNER E PROCESSADOR DE UDIO MULTI (MSP)
LOUDSPEAKER L
D/A
D/A
I2SL/R
HEADPHONE R
IDENT
NC
SCART
LOUDSPEAKER R
A/D
D/A
DEMODULATOR
S1...4
FM1
FM2
I2SL/R
NICAM B
NICAM A
SCART-L
HEADPHONE L
IDENT
LOUDSPEAKER
A/D
D/A
SCART-L
SCART-R
DFP
SCART-R
Switching Facilities
T
M
T
M
T
M
T
M
5311 D3
5312 E3
5321 C13
5322 G11
6311 C13
3313 G3
3314 G2
3315 G3
3316 G4
3326 E6
4311 E2
4312 G2
5301 C2
2321 B11
2322 B11
2323 B12
2324 B12
2325 B12
2326 D13
2327 D13
2328 E12
2329 E13
7302 G3
7303-1 E5
7303-2 E5
7304 C8
I301 D2
I301 C3
I303 E3
I311 G12
I312 G12
2302 C3
3317 E4
3318 E4
3319 F4
3320 F4
3321 E6
3322 C7
3323 C7
3324 C13
3325 C13
2317 F4
2318 E5
2319 F4
C
2330 F12
2331 F13
2332 G11
2333 G12
2334 G12
2335 D7
2336 D7
3311 E2
3312 E2
2303 C4
2304 C4
2310 E2
2311 E2
2312 E3
2313 E3
2314 F2
2315 F3
2316 F4
D
E
F
G
H
I
A
B
C
11 12 13
A
B
3 4 5 6 7 8 9 10
7 8 9 10
D
11 12 13
1 2
1301 G12
1302 D1
1303 D1
2301 C3
E
F
G
H
I
1 2 3 4 5 6
Tuner and multi sound processor (MSP)
LM393D
5
6 7
8
4
7303-2
3312 100R
2319
100n
V
6
1
u
0
1
3
2
3
2
K
0
1
6
2
3
3
SCL
7
SDA
SIF_OUT
10
13
VIDEO_OUT
11
VT
I301
AUDIO_OUT
2
B+
4
1
6
1
5
1
7
1
3
NC
4
NC
NC
12
6 R
E
N
U
T
TCSN9082PA26F(H)
1303
8
AFT_OUT
AS
5
9
1
2
3
2
u
0
1
V
6
1
4312
I303
I302
5V_FV
P
O
T
F
E
R
V
2
4
NI
_
L
A
T
X
5
T
U
O
_
L
A
T
X
6
I312
30
SC2_IN_L 37
SC2_IN_R 38
STBYQ
11
N
E
T
S
E
T
4
P
T
7
1
F
E
R
V
9
2
2
F
E
R
V
5
2
3
2
4
2
8
2
2
3
RESETQ
22
SC1_IN_L 40
SC1_IN_R 41
SC1_OUT_L 31
SC1_OUT_R
I2C_CL
12
I2C_DA
13
I2S_CL
14
I2S_DA_IN1
17
I2S_DA_IN2
21
I2S_DA_OUT
16
I2S_WS
15
MONO_IN 43
1
M
_
L
P
A
C
4
3
DACM_L 27
DACM_R 26
S
S
V
D
0
2
DVSUP
19
0
OI
_
R
T
C
_
D
9
1
OI
_
R
T
C
_
D
8
AGNDC
36
S
S
V
H
A
5
3
P
U
S
V
H
A
3
3
ANA_IN+ 2
ANA_IN- 3
G
S
A
9
3
S
S
V
A
4
4
P
U
S
V
A
MSP3425G
7304
L
C
_
R
D
A
8
1
L
E
S
_
R
D
A
0
1 5301
47u
56p 2335
18M432
1301
3320
n
0
0
1
10K
I311
2
3
3
2
3323 100R
4
1
3
2
V
0
5
7
u
4
5322
5V
10u
33VSTBY
V
0
1 1
0
3
2 u
0
2
2
3
1
3
3
2
K
2
5V_FV
8
p
6
4
3
3
2
5V_FV
2
0
3
2
n
0
0
1
4K7 3317
5V_FV
8
p
6
3
3
3
2
4311
5V_FV
V
0
5 7
1
3
2 2
u
2
2312 10u 16V
n
0
0
1
4
2
3
2
5
1
3
2
n
0
1
100R 3322
5
2
3
2
V
6
1
u
7
4
5
1
3
3
22u
R
0
0
1
5V
5312
1
2
3
3
K
0
1
3
12
NC
SCL
6
7
SDA
SIF_OUT
10
VIDEO_OUT
13
VT
11
AFT_OUT
AS
5
AUDIO_OUT
9
2
B+
1
BB+
4
1
6
1
5
1
7
1
NC
1302
TCSM0601PD25F(H)
R
E
N
U
T
8
3
2 1
8
4
7303-1
LM393D
n
0
3
3
5V
V
F
_
V
5
6
1
3
2
u
0
1
1
2
3
5
n
0
0
1
4
0
3
2
0
n
1
1
3
3
2
1
1
3
6
6
1
3
S
A
B
5V
5V_FV
7302
BC857BW
6u8 5311
8V
n
0
0
1
9
2
3
2
100n
5V
V
F
_
V
5
2313
n
0
0
1
1
1
3
2
0
n
1
0
3
3
2
6
2
3
2
u
0
1
V
6
1
3325 10K
R
5
7
6
1
3
3
3318 10K
3319
2336 1u0
27K
100R 3311
7
2
3
2
n
0
0
1
V
6
1
u
0
1
0
1
3
2
2
2
3
2
n
0
0
1
7
K
4
4
2
3
3
u
0
1
3
0
3
2
5V_FV
V
6
1
3314
100R
8
2
3
2
V
0
5
7
u
4
100n 2318
TUN_DET0
TUN_DET1
TUN_CVBS
RSA2
RSA1
AIA_L_MSP
AIA_R_MSP
SCL_5V
SDA_5V
SCL_5V
SDA_5V
3139_243_33332_130_3_a2.pdf 2006-03-01
20 DVDR3455
PAINEL ANALGICO - PSU E INTERFACES
1408 F13
1409 H13
1413 H3
1414 C6
1415 E7
1 2 3 4
3427 B10
B
C
5 6 7
G
H
I
dr
a
o
b
l
ati
gi
d
ot
n
oit
a
ci
n
u
m
m
o
C
4415 F4
2419 C6
2421 G6
1410 E13
1411 B3
1412 E4
3410 C3
3411 C3
3412 C3
L = Standby
3413 C4
3414 D3
3415 D3
3416 D4
3417 E4
3418 F3
3419 H3
3420 I3
3421 B6
3422 C7
3423 C7
3424 B7
3425 D7
3426 D8
7420 C7
3428 C9
3429 G3
D
E
F
3434 H7
3435 H7
3436 H8
3437 G7
3438 H9
3439 H5
3441 F10
3442 E10
3443 F10
3444 E10
3445 E10
3446 F10
3451 H10
3452 H10
3453 H11
3454 H11
4411 G5
4412 G6
4413 B4
4414 B4
4416 F4
4417 I3
2422 H8
2423 G8
2431 E11
5412 F7
6411 D8
6412 C10
H = On
6413 C10
6421 F9
6422 H6
7411 B3
7412 C4
7413 C3
7414 D3
7415 D4
7416 F3
7417 G3
7418 I3
7419 B7
I435 D13
7421 C8
7422 C7
3431 F6
3432 G6
3433 G6
7426 G3
7431 F7
7441 H10
D
D
O
o
t
dr
a
o
b
t
n
orf
ot
n
oit
a
ci
n
u
m
m
o
C
PSU and interfaces
1405 D13
7442 H10
I401 D1
4418 I3
4419 E7
5411 G6
I405 D1
I406 C6
I407 C6
d
r
a
o
B
l
a
ti
gi
D
o
t
I408 C6
I409 D6
I410 D6
I413 D8
I415 B10
I421 A13
I422 B13
I423 B13
I424 B13
I425 B13
I426 B13
I427 B13
I431 C13
I432 C13
I433 C13
I434 D13
7423 B10
7424 F11
7425 E11
2413 F2
2414 I2
2415 D6
2416 D7
2417 C9
D
D
H
o
t
1406 E13
1407 F13
I
1401 D1
1402 C5
1403 A13
1404 C13
I450 F13
I451 F13
I452 F13
I402 D1
I403 D1
I404 D1
I471 H13
I479 H13
I480 H13
I481 H13
I482 I13
I483 I13
A
B
C
D
E
F
G
H
2418 E6
N
A
F
ot
2411 B2
2412 C3
I491 G9
Fr MCU
I436 D13
I441 E13
I442 E13
12
I464 G13
I465 G13
I467 G13
13
A
11
8 9 10 11 12 13
1 2
7
1
4
2
3 4 5 6 7 8 9 10
n
0
0
1
I467
5VH
8V
I479
3
4
4
3
7
K
4 I450
12VBE
I402
9
1
4
2
V
0
5
0
u
1
5VE
4416
4418
4415
4414
4417
4413
2
1
4
4
GND
12VSTBY
4419
3418
10K
BC847BW
12V
7420
1
2
BC327-25
7425
2
B2B-EH-A
1410
1406
B2B-EH-A
1
1
2
4
6
6
1
3
S
A
B
1
2
4
3
K
0
0
1
3
K
3
4
4
4
3
I431
5
3
4
3
R
0
0
1
3439
1K0
GND
5VH
D
N
G
5
2
4
3
K
7
4
GND
SI2306DS
7416
12V
12V
K
7
4
3
1
4
3
7
K
4
2
4
4
3
1
2
3
4
I410
B4B-EH-A
1405
GND
u
0
3
3
1
1
4
2
3
1
4
6
6
1
3
S
A
B
3454
1K0
I423
3V3
GND
V
2
1
6411
BZX384-C6V8
10K
3429
BC847BW
7424
GND
GND
1K0
3453
V
0
5
u
0
1
8
1
4
2
I405
T 125mA 1414
2
2
4
6
2
V
8
C -
4
8
3
X
Z
B
3431
100R
6
3
4
I426
7414
SI3443DV
1
2
5
0
R
1
5
4
4
3
2
V
8
C-
4
8
3
X
Z
B
2
1
4
6
I432
GND
I422
33VSTBY
I424
8
K
1
4
3
4
3
K
0
2
2
GND
12VH
2
2
4
3
7415
BC847BW
4411
I451
I434
u
0
0
1
I435
5
1
4
2
19
2
3
4
5
6
7
8
9
1
10
11
12
13
14
15
16
17
18
HLW19S-2C7
1407
I442
5VSTBY
BSH103
7442
I425
I406
I483
1
2
3
4
5
6
PDTC124EU
7421
1402
B6B-PH-K
V
0
5
u
0
0
1
2
2
4
2
12VE
I464
I421
8
9
I471
1
10
11
12
2
3
4
5
6
7
B12P-PH-K
1403
5V
I413
STS9NF30L
12VSTBY
5V
7418
0
2
4
3
7417
SI2306DS
6
1
4
2
n
0
0
1
K
8
1
SI2306DS
7411
I403
3441
68R
8
2
4
3
7
K
4
5VN
1
5
4
3
7
K
4
7
K
4
2
5
4
3
u
0
6
5
4
1
4
2
1000mA T
33VSTBY
4
5
1412
B5P-VH
1401
1
2
3
I465
2A T 1413
I491
GND
I427
3
2
4
2
p
0
9
3
3V3BE
V
2
1
3V3BE
I480
I407
GND
V
0
1
u
0
0
1
1
2
4
2
3
K
3
I436
2
1
4
3
10K
3419
12VH
12VE
K
0
2
2
0
1
4
3
GND
12V
3
2
4
3
3
K
3
5VBE
12VBE
5VBE
0
K
1
BSH103
6
4
4
3
GND
7441
5
R
1
2
3
4
3
K
7
4
4
2
4
3
VGNSTBY
4
1
4
3
K
7
4
K
2
2
8
3
4
3
3V3
I452
u
0
6
5
3
1
4
2
GND
6
3
4
3
K
2
2
GND
12
13
14
2
3
4
5
6
7
8
9
1
10
11
I415
HLW14S-2C7
1409
7
1
4
3
33VSTBY
12V
K
7
4
I433
500mA T 1411
125mA T 1415
3K3
3415
3416
3K3
5
6
7
8
9
I441
12
13
14
15
16
17
2
3
4
1408
HLW17S-2C7
1
10
11
K
0
0
1
1
1
4
3
3
3
4
3
5
R
1
1K5
3426
5412
BC337-25
7422
1m0
BC847BW
7413
H
V
2
1
12V
I481
I482
V
5
2
u
0
1
1
3
4
2
100R
3427
PDTC124EU
7412
1404
B4B-EH-A
1
2
3
4
I409
I408
5VSTBY
5VE
7423
BC547B
I401
2
1
4
2
V
0
5
2
u
2
7419
SI2306DS
5V
I404
3437
47K
IS 7
SWC 1
SWE 2
TIMC 3
VCC
6
7426
SI2306DS
7431
MC34063AD
REGULATOR
REFERENCE
OSC
IPK
Q
R
S
CIN_NEG 5
DCOL 8
GND 4
33u
5411 AIN_SEL1
5V_VH
12V_P
TUN_DET1
POWER_FAIL
VGN_P
FBS
5VN_P
5VSTBY
3V3_VH
12V_VH
HDMI_INT
8SC2_1
TUN_DET0
DFM
SDA_3V3
8SC2_2
AIN_SEL0
ATNFM
POWER_FAIL
HDD_ON
SCKFM
DFM
DHOST
RDYFM
ATNFM
HOSTRST#
SCL_5V
SDA_5V SDA_3V3
SCL_3V3
FAN
HOSTRST#
SCKFM
DHOST
RDYFM
SCL_3V3
HDD_ON
FAN
STBYn
VGNSTBY
12VSTBY
STBYn
STBYn
3139_243_33332_130_4_a2.pdf 2006-03-01
21 DVDR3455
PAINEL ANALGICO - LAYOUT - PARTE PRINCIPAL (VISTA SUPERIOR)
3380_APAC_TOPLAYR.pdf 2006-05-25
22 DVDR3455
PAINEL ANALGICO- LAYOUT -PARTE PRINCIPAL (VISTA INFERIOR)
TopView_AnalogBd_Hmc_32694.pdf 2005-05-25
23 DVDR3455
PAINEL FRONTAL - DISPLAY
FIP
LED

FIP
CTRL
DRIVER
X
XT
KEY_R
FM
SCK
D
D_HOST
SCK1
POWER_FAIL
IR
VLOAD
1
2
3
IC
1
2
3
4 POWER_CTL
VDD
VSS
24
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
0
RDY
RESET
2
1
2
ATN
HOST_RESET
1
F113 F13
F114 F13
F115 E9
F117 F10
6117 H5
7100 A3
7101 B2
7102 B3
7103 C1
7105 H13
F100 B6
F101 B9
F102 E13
F103 E13
F104 E13
F105 E13
F106 F13
F107 F13
F108 F13
F110 F13
F111 F13
F112 F13
6104 D9
6105 D9
6106 D9
DC0
6107 D10
6108 D10
6109 D10
6111 E3
6112 F3
6113 F3
6114 G3
6115 G3
6116 H3
3116 D13
3117 D13
3118 E13
WS0
3119 F10
3120 F10
3121 G2
3122 G9
3123 G2
3124 H10
3125 H2
3126 H6
3127 B9
3128 B9
3129 B10
3130 B10
3131 B10
5100 B1
5101 E3
6100 B1
6101 A5
6102 D8
6103 D9
3100 A3
3101 A2
3102 A4
3103 A10
3104 A3
3105 A10
3106 A2
3107 A4
3108 B10
3109 B1
3110 B4
3111 B5
3112 B6
3113 B6
3114 B1
3115 C2
C
D
E
2103 B1
2104 B5
2105 B1
2106 B1
2107 B2
2108 F10
2109 F11
2110 F9
2111 G2
2112 G11
2113 G12
2114 G12
2115 G12
2116 G13
2117 G2
2118 G2
2119 H10
2120 H3
2121 I9
2122 I3
2123 I3
2124 I12
10 11 12 13
A
B
C
D
E
F
G
H
I
A
B
2100 A4
2101 B4
2102 B5
1 2 3 4 5 6 7 8 9 10 11 12 13
1 2 3 4 5 6 7 8 9
F121 H3
F122 H12
F123 I12
F
G
H
I
0010 E3
1100 A6
1101 F1
1102 F2
1103 F2
1104 F1
1105 F2
1106 F2
1107 E10
1108 F10
1109 F13
2101
22u
F119 F10
F120 H5
F122
50V
F123
F120
F119
F121
F105
1108
F117
F111
32K768
F113
F108
F106
F107
F110
5
1
1
3
0
K
1
4
0
1
6
6
1
3
S
A
B
6
1
3
S
A
B
3
0
1
6
0
u
1 2110
5101
K
2
8
0
3
1
3
K
2
8
9
2
1
3
8
2
1
3
K
2
8
K
2
8
7
2
1
3
3105
GND
10R
3104
470R
3112
F102
10R
2120
2n2
330R
10K 3125
3126
n
0
0
1
EVQ11L05R
5
0
1
2
n
0
0
1
4
2
1
2
1106
2
2
1
2
2106
V
3 .
6
0
m
1
220n
2K7
3117
3116
2K7
3
1
1
2
GND
GND
n
0
0
1
BAS316
6100
1
0
1
3
R
0
3
3
GND
2
0
1
2
n
7
4
EVQ11L05R
1102
WH02D-1
0010
1 2
EVQ11L05R
1101
6K8
3114
2117 2n2
n
0
0
1
6
1
1
2
n
0
0
1
5
1
1
2
F115
BAS316
6112
47K
1 27
28
52
51
50
2
3
3122
46
47
48 44
12
5
4
8
11
20
21
22
23
7
49
13
41
42
43
45
35
16
36
37
38
39
40
17
18
19
15
24
25
26
29
30
31
32
33
34
6
9
10
14
n
0
1
9
1
1
2
3100
330R
BC847BW
7103
3
P
8
1
4
P
7
1
5
P
6
1
6
P
5
1
7
P
4
1
8
P
3
1
9
P
2
1
0
1
1
1
P
9
2
1
P
8
3
1
P
7
4
1
P
6
5
1
P
5
6
1
P
4
7
1
P
2
P
9
1
2
2
1
1
F
1 2
2
1
F
1
2
F
1
3
2
3
2
2
F
C
N
1
2
1
P
0
2
0
1
P
1
1
G
1
9
2
8
2
G
2
G
3
7
2
G
4
6
2
5
2
G
5
4
2
G
6
G
7
3
2
G
8
3 1
1100
HUV-08SS65T
1107
2
35V 22u
2104
6
1
3
S
A
B
9
0
1
6
6
1
3
S
A
B
7
0
1
6
6
1
3
S
A
B
8
0
1
6
6
1
3
S
A
B
6
0
1
6
GND
GND
10K 3121
2118 2n2
3123 10K
9
0
1
2
p
2
2
8
0
1
2
p
2
2
1n0
2107
GND
6114
BZX384-C6V8
K
0
1
2
0
1
3
0
0
1
2
n
7
4
BAS316
6113
6
1
3
S
A
B
5
0
1
6
1
2
1
2
n
0
0
1
N
N
T
E
K
6
1
8
L
T
L
7
1
1
6
0
K
1 0
1
1
3
R
0
7
4
3109
6115
BAS316
BAS316
6111
3103
10R
2
0
1
6
6
1
3
S
A
B
3108
GND
GND
10R
F104
GND
F103
BC847BW
7101
3106
33R
F100
GND
GND
OUT
VS
7105
TSOP4836ZC1
GND
5100
u
0
0
1
7102
EVQ11L05R
2SB1132
2
3
4
5
6
7
8
9
1103
1
10
11
12
13
14
F101
HLW14S-2C7
1109
2103
220n
EVQ11L05R
1104
7100
GND
2SD1664
GND
BAT54 COL
6116
n
0
0
1
4
1
1
2
3113 10R
2K7
3118
3
2
1
2
GND
n
0
1
K
0
7
2
4
2
1
3
GND
3119
100R
V
6
1
u
0
0
1
2
1
1
2
BZX384-C6V8
6101
470R
3107
K
7
4
0
2
1
3
2n2 2111
K
2
8
1
3
1
3
F114
1105
EVQ11L05R
GND
F112
RC
HOST_RESET
VGNSTBY
10R 3111
POWER_CTL
RDY_FM
ATN_FM 5VSTBY
D_FM
12VSTBY
5VSTBY
KEY_B
5VLP
GND
POWER_FAIL
VGNSTBY
D_HOST
5VLP
SCK
5VSTBY
POWER_FAIL
RC
VGNSTBY
KEY_A
KEY_B
KEY_C
KEY_C
KEY_A
5VLP
SCK
D_FM
D_HOST
RDY_FM
ATN_FM
HOST_RESET
5VSTBY
12VSTBY
5VSTBY F2
VGNSTBY
2
F
3139_243_33314_130_1_a3.pdf 2006-02-28
24 DVDR3455
PAINEL FRONTAL - ENTRADA UDIO /VDEO
ANOTAES:
2214 E2
2215 C1
3211 B2
3212 B2
3213 C1
F203 B1
F204 B1
F205 B1
F206 C1
F209 B3
F211 B3
F212 B3
I LINK 1394
1 2 3
1 2 3
A
B
C
D
E
A
IO0
B
C
D
E
1200 D3
1201 D1
1202 B3
1203-1 B1
1203-2 B1
1203-3 C1
2211 B3
2212 C3
2213 C1
5
6 AV_GND
HLW6R-2C7
1202
1
2
3
4
6
1
2
3
4
5
5
6
AV_GND
1200
CSS5004-7A01E
1201
S6B-PH-K
1
2
3
4
F212
AV_GND
3211
AV_GND
600R
R
5
7
3
1
2
3
1u0
GND
2211
2214
AV_GND
470p
F209
AV_GND
F203
GND
470p
2212
1u0
2215
F205
3
1
2
2
p
0
0
1
1203-3
RED
8
6
7
AV_GND
1203-2
WHITE 5
3
4
F204
F211
600R
3212
F206
AV_GND
1203-1
YELLOW
LPV8529-0100F
1
2
AL
TPB0-
TPB0+
TPA0-
TPA0+
AR
CVBS
3139_243_33314_130_2_a4.pdf 2006-02-28
25 DVDR3455
PAINEL FRONTAL - LAYOUT SUPERIOR (SMD + COMPONENTES) E INFERIOR (COMPONENTES)
Front_Layout_3139_243_33312_pg1.pdf 2006-05-25
Front_Layout_3139_243_33312_pg2.pdf 2006-05-25
26 DVDR3455
PAINEL FRONTAL - STANDBY PAINEL FRONTAL - STANDBY - LAYOUT SUPERIOR
3 4
1 2
A
B
F301 D2
I300 D3
C
D
F
G
1302 C1
1303 C4
D
3
G
E
F
C
2301 D2
E
DC0
6300 D2
6301 D2
F300 D2
1 2
4
A
B
1302
WH02D-1
1
2
F301
1303
EVQ11L05R
GND
F300
2
n
2
1
0
3
2
1
0
3
6
8
V
6
C
-
4
8
3
X
Z
B
8
V
6
C
-
4
8
3
X
Z
B
0
0
3
6
3139_243_33314_130_3_a4.pdf 2006-02-28
Front_Layout_3139_243_33312_pg4.pdf 2006-05-25
27 DVDR3455
PAINEL DIGITAL- PROCESSADOR TRASEIRO
3
2
1
0
DAC6_OUT
DAC5_OUT
1
0
1
2
3
4
5
GPIO<0:15>
VO_D
5
4
3
2
VO2_D
DAC1_OUT
DAC2_OUT
DAC2_OUTB
DAC3_OUT
DAC4_OUT
DAC4_OUTB
9
15
14
13
12
11
6
7
8
9
VO_HSYNC
VO_VSYNC
VO_ACTIVE
VO_E
1
0
6
7
GPIO29
PEC
GPIO45
VI_VSYNC
VI_CLK
VI_D
GPIO30 10
9
8
7
6
5
4
1
VI_E0
VI_E1
0
VO_CLK
0
8
4
5
0
1
1
0
0
0
RAS
WE
30
31
CAS
CKE
2
3
SDRAM_DQM
SDRAM_A
SDRAM_VREF
SDRAM
SDRAM_CLK
SDRAM_CLK
11
12
13
14
15
16
17
10
9
8
7
6
5
4
3
2
1
0
3
2
SDRAM_DQ
SDRAM_DQS
1
1
0
1
2
3
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
3
4
5
12
11
10
9
8
MDATA<0:15>
MADR<1:26>
HMST_ADRLO
4
3
2
1
0
HMST_UWE
HMST_RST
HMST_LDS
3
2
1
0
3
4
HMST_WAIT
2
1
0
HMST_CS0_8BIT
HMST_ALE
HMST_ADRDATA
HMST_ADRHI
HMST_CS
HMST_GPIO
HMST_OE
HMST_UDS
LWE
GPIO5
GPIO4
0
1
2
7
6
5
HMST_WR
HSMT_DTACK
PCMCIA_IOR
PCMCIA_IOW
4
3
2
1
0
15
14
13
LREQ
PHY_CLK
PHY_CTL0
PHY_CTL1
0
1
2
3
GPIO44
GPIO43
DMINUS
DPLUS
HOST_OC
HOST_PO 1394_PHY_DATA
USB_AGND
USB_AVDD
1394
0
0
1
1
0
1
0
1
7
6
5
4
LINK_ON
LPS
SCL
ADR
0
1
2 SDA
WC
3
2
1
0
GPIO34
GPIO31
AI_D
GPIO<6:7>
AI_MCLKO
AI_MCLKI
AI_FSYNC
2
1
0
A2_SCLK
A2_FSYNC
AO_MCLKO
AO_MCLKI
AO_IEC958
AO_FSYNC
GPIO32
CS8
AO_D
GPIO33
CS9
AO_SCLK
AO2_D0
AI_SCLK
TRST
TMS
CLKI
CLKX
RSTO
EPD
CLK0_DAC
USB_48MHZ
CS10
GPIO42
GPIO41
TDI
TDO
TCK
BYPASS_PLL
SPI_CS3
SIO_UART1_CTS
SIO_UART2_TX
SIO_UART2_RTS
SIO_UART2_CTS
SIO_UART1_TX
SIO_UART1_RX
SIO_UART1_RTS
GPIO37
SIO_SPI_CS2
SIO_SPI_CS1
SIO_SPI_CS0
SIO_SPI_CLK
SIO_SDA
SIO_SCL
GPIO35
GPIO36
GPIO39
CS6
GPIO40
CS7
GPIO24
GPIO25
SIO_IRTX2
SIO_IRTX1
SIO_IRRX
SIO_UART2_RX
GPIO38
CS11
SIO_SPI_MISO
SIO_SPI_MOSI
15
12
13
14
INTRQ
IORDY
DIOR
DIOW
DMAACK
RESET
13
12
11
10
4
0
1
2
3
4
5
6
7
DMARQ
11
3
2
1
0
15
14
0
ADR
DATA
ADR
9
8
7
6
5
4
3
2
1
0
4
3
1
2
3
RESET
DMAACK
DIOW
DIOR
IORDY
INTRQ
DATA
9
10
8
DMARQ
0
0
V
5
_
S
A I
B
D
D
V
F
E
R
VDDP VDD25
D
D
V
_
S
E
R
VDD
A
9
1
_
P
D
D
V
F
E
R
V
D
D
V
L
A
T
X
AVDD
SUPPLY
AGND
1
_
S
S
V
D
_
C
A
D
S
S
V
F
E
R
GND
S
S
V
L
A
T
X
1
0
V
5
_
S
AI
B
D
D
V
D
_
C
A
D
A
8
0
_
P
D
D
V
DAC_VDD
H
I
1101 B6
1105 E5
1111 D6
2101 B6
2102 B6
2105 E8
2106 E5
2108 G12
3 4 5 6 7 8 9 10 11 12
A
B
C
E
D
G
H
I
A
B
C
D
E
F
G
4 5 6 7 8 9
2109 G13
2121 G3
2122 G4
2123 G4
Back-end Processor
Audio (AUD)
1 2
2124 G4
2125 G4
2126 G4
2127 G4
2128 G4
2129 G5
2130 G5
2131 G5
2135 I5
2141 F5
13
1 2 3
F
IEEE1394 Link - Physical (LNK)
2154 G1
2155 G2
2156 G2
2157 G2
2158 G2
2159 G2
2160 G2
2161 G2
2162 G3
2165 I6
10 11 12 13
2175 H6
2181 I5
2182 I5
2183 I6
2191 I6
2192 I6
2199 D7
3101 A6
3102 A6
3103 A6
2142 F5
2143 F5
2144 F6
2145 F6
2146 F6
2147 F6
2148 F6
2149 F6
2151 G1
2152 G1
2153 G1
3108 E5
3109 E5
3110 F5
3111 E11
3112 E11
3113 E11
3114 E11
3115 E11
3116 A10
3117 A10
2166 G6
2167 G6
2171 H5
2172 H6
Video Output Analog (VOA)
Back-end SDRAM (BES)
Back-end Flash (BEF)
2173 H6
2174 H6
3135 C4
3136 D4
3137 B4
3138 B4
3139 B4
3141 E1
3142 F1
3143 E1
3144 F1
3145 E1
3104 A6
3105 E5
3106 E5
3107 E5
)
D
D
H(
e
vir
D
k
si
D
dr
a
H
3151 E2
3152 F2
3153 E2
3154 F2
3155 E2
3156 F2
3158 C6
3159 B8
3160 C8
3161 H2
3118 A10
3119 C10
3121 B4
3122 C4
3123 C4
3124 A4
3125 C4
3131 B4
3132 B4
3133 B4
3134 B4
3171-3 G10
3171-4 G10
3172-1 G10
3172-2 G10
3172-3 G10
3172-4 G10
3173-1 G10
3173-2 G10
3173-3 G10
3173-4 G10
3146 F1
3147 E2
3148 F2
3149 E2
3150 F2
3176-4 H10
3177-1 I10
3177-2 I10
3177-3 I10
3177-4 I10
3178-1 I10
3178-2 H10
3178-3 H10
3178-4 H10
3181-1 G13
3162 A8
3163 B6
3164 B8
3165 B8
3166 B8
3167 B8
3168 F9
3169 F9
3171-1 G10
3171-2 G10
3184-2 G13
3184-3 G13
3184-4 G13
3185-1 H13
3185-2 I13
3185-3 I13
3185-4 H13
3186-1 H13
3186-2 H13
3186-3 H13
3174-1 G10
3174-2 H10
3174-3 H10
3174-4 H10
3175-1 H10
3175-2 H10
3175-3 H10
3175-4 H10
3176-1 H10
3176-2 H10
3176-3 H10
3193 E8
3194 D8
3195 E8
3196 D7
3197 D8
3198 D7
3199 D7
5121 G3
5135 H5
5141 F5
3181-2 H13
3181-3 H13
3181-4 I10
3182-1 I10
3182-2 H13
3182-3 I10
3182-4 H13
3183-1 I10
3183-2 G13
3183-3 H13
3183-4 G13
3184-1 G13
7101-2 G8
7101-3 A12
7101-4 E12
7101-5 A2
7101-6 D3
7101-7 A7
7101-8 I3
7105 E8
7111-1 D7
7111-2 D9
3186-4 H13
3187-1 I13
3187-2 I13
3187-3 I13
Communication (COM)
)
D
D
O(
e
v i r
D
c
s i
D
l
a
cit
p
O
3187-4 H13
3188-1 I13
3188-2 I13
3188-3 I13
3188-4 I13
3191 H4
3192 D9
T113 G1
T114 G3
T115 G6
T116 G6
T117 H5
T118 H6
T119 I5
5151 G1
5165 G6
5166 H6
5171 G5
)
D
O
V(
l
ati
gi
D
t
u
pt
u
O
o
e
di
V
Video Input Digital (VID)
5181 I5
6101 B13
7101-1 F11
T101 A6
T102 A6
T103 A6
T104 A6
T105 A6
T106 A6
T107 B6
T108 B6
T109 D6
T110 D6
T111 D6
T112 F5
T116
T115
2106 100n
3185-1 22R 1 8
n
0
0
1
3
8
1
2
4 5
2 7
22R 3183-4
22R
22R 3183-2
3105
3106 22R
2
K
2
3
4
1
3
T105
2
K
2
1
4
1
3
K
0
1
2
1
1
3
3173-2 47R 2 7
22R 1 8 3186-1
n
0
0
1
8
5
1
2
n
0
0
1
9
4
1
2
2
0
1
3
0
K
1
T110
2
K
2
K
0
1
3
1
1
3
6
5
1
3
BLM18P
5166
47R 3175-3 3 6
BLM18P
5181
1 8
L24
H26
Y24
D22
AA26
47R 3172-1
V25
V26
U25
U26
U24
N26
M26
K23
W25
R25
H23
G26
H25
H24
J24
J25
Y26
J23
J26
V24
W26
P26
N25
M25
M24
L26
L25
Y25
L23
K26
K25
K24
N23
P23
R23
T23
V23
W24
T25
T26
R26
P25
AB26
AA24
AC26
AB24
AD25
AD26
AE25
AC23
AF25
AA25
W23
AC25
AB23
AD24
AE26
AC24
AF26
AD23
AB25
Y23
7101-1
DMN-8652

SDRAM
K
0
1
7
1
1
3
22R
3169
3159 4K7
22R
3176-1 47R 1 8
3122
3168
22R
7
5
1
2
n
0
0
1
6
6
1
2
n
0
0
1
B9
C8
B10
B11
A11
C11
C12
B12
A12
B13
A13 B15
C18
A19
D18
C10
A16
A10
C13
C14
B14
A14
A15
C15
A20
A21
C20
B16
C16
D16
B17
C17
D17
B18
B19
B23
B22
A22
B21
C25
B26
C24
A26
B20 A3
A4
B4
A5
A6
B5
A7
A8
A17
A18
C19
6
7101-3
DMN-8652
DAC VIDEO
3182-3 22R 3
BLM18P
5135
3174-3 47R 3 6
3165 22R
T119
T117 T118
u
0
2
2
3198
1K0
1 8
V
6
1 1
8
1
2
47R 3173-1
n
0
0
1
2
4
1
2
5121
BLM31
n
0
0
1
3
4
1
2
K
0
1
6
1
1
3
22R 3182-4 4 5
BC847BS
2
6
1
7111-1
5165
BLM18P
3109
3184-2 22R 2 7
10K
3183-1 22R 1 8
4 5
n
0
0
1
5
3
1
2
3186-4 22R
3 6
n
0
0
1
7
2
1
2
3188-3 22R
n
0
0
1
2
7
1
2
2 7
2
K
2
9
4
1
3
3181-2 22R
p
8
1
1
0
1
2
2
K
2
0
5
1
3
T107
4K7
3197
2 7
K
0
1
9
1
1
3
47R 3177-2
T112
AF9
T113 T114
AD8
AE14
AE10
AE9
AF13
AE18
AC17
AC10
AF14
AD10
AF10
AD9
AF7
AF15
AF16
AD12
AF17
AF18
AF19
AE5
AF5
AD13
AE15
AD14
AE11
AE7
AD7
AF6
AC8
AE6
AF8
AE8
AC9
AF12
AC11
AF11
AE12
AD11
AE16
AD15
AE17
AC16
AD16

HMST
7101-5
DMN-8652
AD17
AE13
K
0
1
4
1
1
3
9
9
1
2
0
n
1
n
0
0
1
4
5
1
2
6
4
1
2
3187-4 22R 4 5
n
0
0
1
K
0
1
1
6
1
3
2 7 47R 3176-2
n
0
0
1
0
3
1
2
XJ
1101
13M5
T109
%
1
n
0
0
1
4
7
1
2
2 7
1
9
1
3 2
K
1
3172-2 47R
n
0
0
1
5
2
1
2
2
K
2
2
5
1
3
0
K
1
4
0
1
3
T103
BC847BS
5
3
4
7111-2
1
3
2
2109 100n
4 5
9
9
V
A
B
1
0
1
6
7
3181-4 22R
22R 3182-2 2
22R 3121
BLM31
5151
T111
n
0
0
1
5
6
1
2
n
0
0
1
0
6
1
2
n
0
0
1
5
7
1
2
22R 3 6
22R 3188-4 4 5
3186-3
10K
3192
2
K
2
5
5
1
3
5
9
1
3
8
K
6
22R 3164
1 8 47R 3178-1
3125 10K
n
0
0
1
3
7
1
2
T102
10K 3136
G4
G1
G3
3
E
3
F
4
E
4
F
3131 10K
M4
M3
J2
J1
K3
K2
E1
F1
E2
F2
G2
DMN-8652
7101-6
H3
L1
L2
K1
J4
L3
J3
L4
3 6
ANA

1394
22R 3181-3
7
1
7
1
2
V
5
2
u
0
1
47R 3175-2 2
2
K
2
4
5
1
3
2
K
2
7
4
1
3
2
K
2
5
4
1
3
3 6
3158
22R 3187-3
1
4
1
2
V
5
3
u
2
2
10K
p
8
1
2
0
1
2
4 5
K
0
1
3
9
1
3
47R 3177-4
n
0
0
1
4
4
1
2
3 6
n
0
0
1
1
6
1
2
47R 3172-3
T104
1 8
3123 10K
22R 3187-1
2
K
2
8
4
1
3
3139 10K
u
0
3
3
1
2
1
2
3175-1 1 8
V
6
1
47R
3 6
n
0
0
1
4
2
1
2
3176-3 47R
6
3194
10K
47R 3178-3 3
n
0
0
1
2
2
1
2
3138 10K
100n
2105
3183-3 22R 3 6
3186-2 22R 2 7
3162 10K
n
0
0
1
2
5
1
2
8
4
7 (2Kx8)

EEPROM
7105
M24C16-RDW6
1
2
3
6
5
3 6
n
0
0
1
1
3
1
2
4 5
3177-3 47R
3172-4 47R
n
0
0
1
9
5
1
2
2
K
2
1
5
1
3
3 6
10K
3185-3 22R
W2
R1
Y1
3133
P3
N3
N2
M2
M1
N1
W3
T3
V1
V4
P1
U3
U1
P2
R3
T2
U2
V2
W4
T1
R2
AD5
AD4
AF3
AC5
AF4
AE4
AD6
Y2
W1
V3
U4
AE1
AF1
Y4
AA2
AB1
AA3
AB2
AC1
AB3
AC2
AE2
AD3
AC4
AF2
AE3
Y3
AA1
AD1
AB4
AC3
AD2
7101-2
ATAPI2 ATAPI

DMN-8652
n
0
0
1
8
4
1
2
3137 10K
n
0
0
1
8
2
1
2
n
0
0
1
7
6
1
2
n
0
0
1
2
6
1
2
4K7
1 8
3166
3
5
1
3
3177-1 47R
2
K
2
1 8
4K7 3160
22R 3182-1
n
0
0
1
7
4
1
2
3199
100R
AE23
AD20
B8
A9
B7
D8
C7
H2
AC18
AD22
AE22
AE20
AF23
AC20
AF24
AC22
AE24
AF22
D9
C9
AE19
AD19
AF20
AD21
AC19
AD18
AF21
AE21
7101-7
DMN-8652
SERIAL_IO
MISC
JTAG
H4
H1
A1
A2
F26
G25
E25
A23
B25
A25
F24

E23
D26
E24
E26
C26
B24
A24
D24
F25
G24
G23
DMN-8652
AUDIO

7101-4
D25
47R 3 6 3171-3
4 5 22R 3185-4
5171
BLM18P
3188-1 22R 1 8
3184-4 22R 4 5
2 7
5
5
1
2
3187-2 22R
22R 1 8
n
0
0
1
3184-1
T106
n
0
0
1
3
5
1
2
4 5 3178-4 47R
n
0
0
1
6
2
1
2
n
0
0
1
2
8
1
2
2
K
2
4
4
1
3
2
K
2
2
4
1
3
3108 15K
n
0
0
1
3
2
1
2
BLM31
5141
1
2
3
4
5 6
1111
B4B-PH-SM4-TBT(LF)
n
0
0
1
5
4
1
2
47R 3174-4 4 5
22R 3185-2 2 7
1 8 3174-1 47R
3
2
F
4
K
4
N
4
A
A
6
C
A
1
2
C
A
3
2
A
A
1
C
1
B
2
B
4
P
4
R
4
T
3
1
C
A
2
1
C
A
4
1
C
A
5
1
C
A
1
1
D
2
1
D
3
1
D
2
2
C
3
2
M
4
2
N
4
2
P
4
2
R
4
2
T
3
2
U
4
1
D
5
1
D
9
1
D
0
2
D
6
1
T
2
1
L
3
1
L
4
1
L
5
1
L
6
1
L
1
1
M
1
D
2
C
0
1
D
3
2
D
3
1
R
1
1
L
4
1
R
5
1
R
6
1
R
1
1
T
2
1
T
3
1
T
4
1
T
5
1
T
5
1
N
3
2
C
6
1
N
1
1
P
2
1
P
3
1
P
4
1
P
5
1
P
6
1
P
1
1
R
2
1
R
6
D
1
2
C
2
1
M
3
1
M
4
1
M
5
1
M
6
1
M
1
1
N
2
1
N
3
1
N
4
1
N
4
C
5
D
2
D
3
D
4
D
1
2
D
7
C
A
7
D
6
B
6
C
DMN-8652
7101-8
5
C
3
C
3
B
3132 10K
2 7 22R 3188-2
15K 3107
47R 3171-4 4 5
n
0
0
1
2
9
1
2
5
T108
3175-4 47R 4
V
5
2
u
0
1
1
5
1
2
3178-2 47R 2 7
22R 3181-1 1 8
22R 3124
4K7
3196
n
0
0
1
1
9
1
2
1 8 47R 3171-1
3135 10K
5
10K 3110
3173-4 47R 4
K
0
1
1
1
1
3
47R 3173-3 3 6
3163
1M0
B4B-PH-SM4-TBT(LF)
1
2
3
4
5 6
1105
n
0
0
1
6
5
1
2
2 7
2 7
47R 3174-2
3171-2 47R
2
K
2
6
4
1
3
K
0
1
K
0
1
8
1
1
3
5
1
1
3
0
K
1
1
0
1
3
10K 3134
T101
22R 3184-3 3 6
n
0
0
1
9
2
1
2
4K7 3167
0
K
1
3
0
1
3
47R 3176-4 4 5
100n 2108
VIP_RST#
BEF_OE#_1
5V
5V
5V
1V8BE
2V5BE
COM_SCL_1
COM_SDA_1
TCK
TDI
TDO
TMS
TRST#
SYSRST#
BES_DQM(1)_1
BES_DQS(2)_1
VIP_SCL
VIP_SDA
3V3A
VIPINT
VIPINT
COM_USBP1
USBP1
USBM1
2V5S
BEF_WE#_1
BEF_ALE_1
3V3BE
3V3BE
3V3BE
3V3BE
3V3BE
3V3BE
3V3BE
3V3BE
3V3BE
3V3U
3V3BE
5VBE
3V3BE
3V3BE
3V3BE
3V3P
3V3BE
BES_DQ(14)_1
BES_DQ(12)_1
BES_A(7)_1
3V3BE
BES_DQ(28)_1
BES_DQ(29)_1
BES_DQ(30)_1
BES_DQ(31)_1
BES_DQ(24)_1
BES_DQ(25)_1
BES_DQ(26)_1
BES_DQ(27)_1
BES_DQ(20)_1
BES_DQ(21)_1
BES_DQ(22)_1
BES_DQ(23)_1
BES_DQ(16)_1
BES_DQ(17)_1
BES_DQ(18)_1
BES_DQ(19)_1
BES_DQ(13)_1
BES_DQ(15)_1
BES_DQ(8)_1
BES_DQ(9)_1
BES_DQ(10)_1
BES_DQ(11)_1
BES_DQ(4)_1
BES_DQ(5)_1
BES_DQ(6)_1
BES_DQ(7)_1
BES_DQ(0)_1
BES_DQ(1)_1
BES_DQ(2)_1
BES_DQ(3)_1
BES_A(14)_1
BES_A(0)_1
BES_DQM(0)_1
BES_CAS#_1
BES_DQM(2)_1
BES_DQS(1)_1
BES_A(10)_1
BES_A(5)_1
BES_CLK1_1
BES_CLK1#_1
BES_DQS(3)_1
BES_DQM(3)_1
BES_A(15)_1
BES_A(8)_1
BES_CS0#_1
BES_A(3)_1
BES_CKE_1
BES_A(1)_1
BES_A(12)_1
BES_RAS#_1
BES_WE#_1
2V5S 1V8C 3V3P 3V3A
3V3BE
3V3P
COM_USBM1
3V3U
3V3A 5VBE 1V8D 3V3V 3V3R
1V8C
1V8BE
3V3BE
3V3R
3V3BE
3V3V
3V3BE
1V8D
3V3BE
2V5S
3V3BE
5VBE
3V3BE
BES_CLK0#_1
BES_CLK0_1
BES_DQS(0)_1
BES_A(11)_1
BES_A(2)_1
BES_A(6)_1
BES_A(4)_1
BES_A(9)_1
3139_243_34464_130_01_a2.pdf 2006-03-20
28 DVDR3455
PAINEL DIGITAL - MEMRIA
EN
C1
1D
19
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
VSS
VDD
0
A
D
5
2
4
RB
OE
CE
7
6
WE
3
1
RP
0
BYTE
2M-1 / 1M-1
NC
A-1
8
9
10
11
12
13
14
15
D
A
DQS
L
U
11
4
5
6
1
2
3
CK
DM
U
L
VREF
10
CK
4
BA
CS
5 12
2
CAS
WE
15
RAS
0
CKE
VSS
9
14
13
VSSQ
VDDQ VDD
7
1
0
NC
6
12
8
1
0
10
11
AP
3
7
8
9
D
A
DQS
L
U
11
4
5
6
1
2
3
CK
DM
U
L
VREF
10
CK
4
BA
CS
5 12
2
CAS
WE
15
RAS
0
CKE
VSS
9
14
13
VSSQ
VDDQ VDD
7
1
0
NC
6
12
8
1
0
10
11
AP
3
7
8
9
EN
C1
1D
6 7
2202 D1
Back-end SDRAM (BES)
8 9 10 11 12
1 2
2211 A4
2205 D2
2204 D3
2203 D3
2201 D1
I
2212 B4
2213 B4
3 4 5
3267-4 D11
3269-1 E11
3269-2 D11
3269-3 D11
3269-4 D11
3255-3 B11
3255-4 B11
3257-1 B11
3257-2 B11
3257-3 B11
3257-4 B11
3259-1 C11
3259-2 C11
3259-3 C11
3259-4 C11
3261-1 C11
6 4 5 3
3253-2 B11
3253-3 B11
3253-4 A11
3255-1 B11
3255-2 B11
2284 G12
2291 G2
2292 F4
2293 H4
2294 F7
3231-1 C6
3231-2 C6
3231-3 C6
3231-4 C6
3233-1 C6
3233-2 C6
3267-3 D11
3275-2 F11
4292 H6
4293 H6
4294 H8
5201 B1
3271-1 E11
3271-2 E11
3271-3 E11
3271-4 E11
3273-1 E11
3273-2 E11
3245-1 D10
3245-2 D10
3245-3 D10
3245-4 D10
3251-1 A11
3251-2 A11
3251-3 A11
3251-4 A11
3253-1 B11
2255 B12
2256 B12
2257 B12
2258 B12
2259 C12
G
H
I
A
B
C
D
E
F
G
H
Back-end Flash (BEF)
3273-3 E11
3275-3 E11
3273-4 E11
3275-1 F11
2277 F12
2278 F12
2281 F12
2282 F12
2283 F12
2238 B9
2239 B9
2240 D7
2241 D7
2251 A12
2252 A12
2253 A12
2254 B12
B
C
D
E
F
2236 B9
2237 B9
1 2
Memory
2271 E12
2272 E12
2273 E12
2274 E12
2275 E12
2276 F12
3261-2 C11
3261-3 C11
3239-2 C10
3239-3 C10
3239-4 C10
3241-1 C10
3241-2 C10
3241-3 C10
3241-4 C10
3243-1 C10
3243-2 D10
3243-3 D10
3243-4 D10
7 8 9 10 11 12
A
3277-1 F11
3277-2 F11
3277-3 F11
3277-4 F11
3281-1 F11
3261-4 C11
3263-1 C11
3263-2 C11
3263-3 C11
3263-4 C11
3265-1 D11
3265-2 D11
3265-3 D11
3265-4 D11
3267-1 D11
T204 F2
3281-2 F11
3281-3 F11
3281-4 F11
3283 F11
3284 G11
3285 G11
3286 G11
3294 H8
3295 I6
3296 H8
4291 H6
3267-2 D11
3275-4 E11
2261 C12
2262 C12
2263 C12
2264 C12
2265 D12
2266 D12
2267 D12
2268 D12
2269 D12
2270 D12
5291 F2
7201 C1
7211 C5
7231 C9
7292 F3
7293 H3
7294 H7
T201 D3
T202 D3
T203 B2
7
2214 B4
2215 A6
2216 B6
2217 B6
2218 B6
2219 B6
2220 D3
2221 D3
2231 A8
2232 B8
2233 B8
2234 B8
2235 A9
3233-3 C6
3233-4 C6
3235-1 C6
3235-2 D6
3235-3 D6
3235-4 D6
3237-1 D6
3237-2 D6
3237-3 D6
3237-4 D6
3239-1 C10
2260 C12
47R 3275-2 2
47R 3277-2 2 7
1 8 3281-1 47R
1
19
18
17
16
15
14
13
12
0
2
2
3
4
5
6
7
8
9
0
1
11
5
7292
74LVC573APW
3251-4 47R 4
4291
100n 2234
47R 3283
2275 100n
47R 3257-3 3 6
100n 2272
2219 100n
100n 2218
100R 3243-3
100R 3243-2
100R 3243-1
3241-1 100R 100n
2293 100n
2264
4 5 47R 3265-4
3253-4 4 5 47R
2292 100n
2 7
47R 3281-2 2 7
47R 3261-2
1 8 47R 3271-1
100R 3237-4
100R 3237-3
3237-2 100R
100R 3237-1
100R 3235-4
100R 3235-3
100R 3235-2
100n 2236
100R 3245-4
100R 3245-3
100R 3239-1
100R 3239-2
100R 3239-3
100R 3239-4
3235-1 100R
100R 3233-1
100R 3233-2
100R 3233-3
100R 3233-4
100R 3231-4
100R 3231-3
47R 3251-3 3 6
2267 100n
100n 2256
2255 100n
3277-3 47R 3 6
2 7 3251-2 47R 2252 100n
3245-2 100R
100R 3245-1
100R 3243-4
3 6 47R 3273-3
100R 3231-2
n
0
0
1
100R 3231-1
0
4
2
2
n
0
0
1
1
4
2
2
13
14
10
28
15
12
7
3
7
2
6
4
11
43
45
33
35
38
40
42
44
30
32
18
8
7
47
26
29
31
34
36
39
41
1
48
17
16
9
23
22
21
20
19
M29W160ET70N6F
7294
25
24
6
5
4
3
2
2Mx8/1Mx16
[FLASH]
100R 3241-2
100R 3241-3
100R 3241-4
47R 3 6 3269-3
T201
5201
100n 2262
BLM31
8
5
4
6
21
n
0
0
1
2
0
2
2
5
5
1
6
49
4
3
8
4
6
6
6 2
1
2
5
50
53
23
47
51
1
8
1
3
3 3 9
5
1
13
54
56
20
16
14
17
19
25
43
57
59
60
62
63
65
5
7
8
10
11
40
26
27
22
45
44
46
24
2
4
30
28
41
42
31
32
35
36
37
38
39
SDRAM
16Mx16

DDR
7231
29
V
5
3
u
2
2
1
0
2
2
3296 4K7
100n 2278
2239 100n
2266 100n
7
2237 100n
3257-2 47R 2
2
1
2
5
8
5
4
6
21
3
3 3 9
5
1
5
5
1
6
49
4
3
8
4
6
6
6
19
25
43
50
53
23
47
51
1
8
1
8
10
11
13
54
56
20
16
14
17
24
2
4
57
59
60
62
63
65
5
7
36
37
38
39
40
26
27
22
45
44
46
7211
DDR
29
30
28
41
42
31
32
35
SDRAM

16Mx16
35V 22u
2235
2231
n
0
0
1
4
0
2
2
100n
47R 3265-2 2 7
2274 100n
47R 3285 1
9
2
2
V
5
2
u
0
1
5291
BLM18P
1 8 3267-1 47R
3275-4 47R 4 5
47R 3273-4 4 5
4 5
8
3257-4 47R
3277-1 47R 1
47R 1 8
2273
3255-1
100n
2261 100n
22u 35V
2211
2212 100n
3286 47R
2 7
100n 2281
8
3267-2 47R
47R 3263-1 1
47R 3269-4 4 5
47R 4 5 3277-4
2238 100n
2283
3281-3 3 6
100n
47R
100n 2232
2270 100n
2271 100n
4294
8 3259-1 47R 1
47R 3271-3 3 6
2260 100n
47R 3263-3 3 6
3265-3 47R 3 6
2 7
10K
47R 3273-2
3261-3 47R 3 6
3295
2214 100n
14
13
12
0
2
8
9
0
1
11
1
19
18
17
16
15
7293
74LVC573APW
2
3
4
5
6
7
100n 2294
100n 2277
4K7 3294
3269-2 2 7 47R
2233 100n
47R 2 7
100n 2251
3255-2
100n 2284
V
6
1
u
0
3
3
3
0
2
2
4 5
1 8
3263-4 47R
3265-1 47R
47R 3281-4 4 5
7
T202
3263-2 47R 2
2258 100n
47R 3257-1 1 8
100n 2259
100n 2269
n
0
0
1
1
2
2
2
47R 3251-1 1 8
100n 2265
100n
47R 3275-1 1 8
2213
47R 1 8
100n
3269-1
47R 3 6
2253
2 7
3259-3
47R 3259-2
100n 2217
4292
3271-2 47R 2 7
100n 2257
47R 3261-4 4 5
100n 2276
5 47R 3267-4 4
3267-3 47R 3 6
2254
3261-1 47R 1 8
100n
47R 3259-4 4 5
4 5
3253-1 47R 1 8
3255-4 47R
2215 100n
3273-1 1 8
n
0
0
1
5
0
2
2
47R
47R 3 6
2263 100n
2 7
3253-3
4 5
47R 3253-2
3271-4 47R
2216 100n
3284 47R
100n 2268
3255-3 3 6
2282 100n
47R
4293
47R 3275-3 3 6
T204
4 VREF
3 VSENSE
8 VTT
T203
6 AVIN
2
D
N
G
C
N
1
7 PVIN
5
Q
D
D
V
n
0
0
1
0
2
2
2
LP2995
7201
2V5D
2V5D
VTT
3V3F
SYSRST#
2V5BE
3V3F
2V5D
VTT
2V5D
2V5D
3V3BE
3V3F
3V3F
3V3F
SYSRST#
2V5D
2V5D
3V3F
3V3F
BA{BA(6:21),BEF_HD(0:15)}
3139_243_34464_130_02_a2.pdf 2006-03-20
29 DVDR3455
PAINEL DIGITAL - CAMADA FISCA IEEE1394
0
1
R
0
1
FILTER
DGND
LKON
D
PC
CTL
D
D
V
L
L
P
S
H
_
D
N
G
AGND
DVDD
D
N
G
L
L
P
AVDD
TPA+
TPA-
TPB+
TPB-
7
6
5
4
3
2
1
0
1
0
LREQ
SYSCLK
RESET
PD
TPBIAS 0
1
C
2
XO
ISO
LPS
TESTM
SE
SM
CPS
XI
G
H
I
A
B
IEEE1394 Physical Layer
)
Y
H
P(
tr
o
p
s
n
ar
T
-
l
a
ci
s
y
h
P
4
9
3
1
E
E
EI
14
A
B
C
D
E
F
11 12 13 14
1
C
D
E
F
G
H
I
1351 D5
2301 D6
1 2 3 4 5 6 7 8 9 10
2321 G5
2341 G9
2343 G9
2351 D5
2352 E5
2 3 4 5 6 7
3332 F5
3341 F9
3342 F9
3343 F9
3344 F9
8 9 10 11 12 13
2302 D6
2303 D6
2304 D6
2305 D7
2306 D7
2307 D7
2361 E5
3301 E5
3302 F5
3303 G8
3304 G8
3305 E8
3306 F7
3307 F7
3308 F7
3321 F5
3322 G5
3331 F5
T308 F7
T309 E7
T310 F6
T311 F7
T312 E7
3345 G9
3351 E5
3360 E5
3361 E5
3362 E5
4361 E5
IEEE1394 Link - Physical (LNK)
T313 E7
T314 E7
T315 F6
T316 F6
T317 C6
T321 F6
T351 E6
T352 E6
5301 C6
7301 D6
T301 E7
T302 E7
T303 E7
T304 E7
T305 E7
T306 E7
T307 E7
3304 10K
5301
BLM18P
T311
2
4
3
3
n
0
0
1
1
2
3
2
%
1
R
6
5
T307
T321
T312
T305
27
31
42
43
1K0 3301
33
34
37
23
24
1
22
30
29
28
9
4
19
13
48
16
17
18 12
1
4
0
4
10
11
4
1
6
4
7
4
1
2
4
4
5
4
38
39
5
3
20
2
3
15
4
5
6
7
8
9
1-PORT CABLE
TSB41AB1PHP
7301
6
2
2
3
6
3
5
2
TRANCEIVER
ARBITER

p
0
2
2
3
4
3
2
0
M
1
1
5
3
3
T301
%
1 3
4
3
3
24M576 AT-51CD2
1351
R
6
5
7
K
4
100n 2305
2
2
3
3
2302
T316
100n
T315
3306 680R
T314
680R 3307
4361
T304
T308
%
1
1
K
5
5
4
3
3
2303 100n
0
u
1
T351
T352
1
4
3
2
3362 1K0
T303
10K 3303
1K0 3361
T310
2352 33p
25V 10u
2301
100n 2304
3302 10K
750R 3332 1%
1% 5K6 3331
R
6
5
4
4
3
3
%
1
1K0 3360
T317
T302
R
6
5
1
4
3
3
%
1
100n 2361
T309 3305 22R
100R 3321
2306 100n
T313
T306
2307 100n
3308 680R
33p 2351
3V3I
3V3I
3V3BE
3V3I
3V3I
3V3I
3V3I
3139_243_34464_130_03_a2.pdf 2006-03-20
30 DVDR3455
PAINEL DIGITAL - PROCESSADOR DE ENTRADA DE VDEO
A18VDD
2 3
CS
9
8
GPIO
C_
7
SDA
SCL
A
C
A
2
1
0
VI_4
VI_3
C
B
GND_HS DGND 2
A33GND
CH
4 3
C
VI_2
XTAL
IOGND
8
Y_
GPIO
6
5
4
3
3 4
A18GND CH
A18GND
1
VBLK
GPIO
GPIO
GPIO
DATACLK
2
1
5
2 REF PLL
B
A
GLCO
FSS
2 3 4
CH
A33VDD
IOVDD
1
2
3
GPIO
9
RESETB
PWDN
INTREQ
I2CA
VI_1
A
B
6
7
REF
AVID
4
HS
VS
FID
AGND 1
4
CH
A18VDD
1 1 DVDD
0
PLL
2414 B9
2415 B9
2421 B7
2422 B7
2423 B7
2424 B7
6 7 8 9 10 11
)
D I
V (
l
at i
gi
D
t
u
p
nI
o
e
di
V
H
I
1461 D7
2401 C9
2402 C9
2403 C9
2404 C9
2411 B9
2412 B9
2413 B9
8 9 10 11 12 13
1 2 3 4 5
B
C
D
E
F
G
12 13
1 2 3 4 5 6 7
2462 D7
2471 F5
2472 F5
2473 F5
2474 F5
2475 F5
A
B
C
D
E
F
G
H
I
A
2483 G2
2484 G2
2485 G2
2486 G3
2487 G3
2488 G3
Video Input Processor
Communication (COM)
2425 B7
2426 B7
2427 B8
2431 C7
2432 C7
2433 C7
2434 C7
2435 C7
2453 F6
2461 D7
3453 F6
3454 E7
3455 E6
3456 F11
3457 D11
3461 D7
)
DI
V(
l
ati
gi
D
t
u
p
nI
o
e
di
V
)
AI
V(
g
ol
a
n
A
t
u
p
nI
o
e
di
V
2476 F5
2477 G5
2478 G5
2479 G5
2480 G5
2481 G1
2482 G2
3476 H2
3477 H3
3478 H3
3479 H3
3480 H4
3481 F5
3484 F11
3486 F11
3488-1 F11
2489 G4
2490 G4
3407 E6
3408 E6
3423 F4
3428 G4
3430 G4
3450 D5
3451 G11
3452 F6
3488-2 F11
3488-3 F11
3488-4 F11
3492-1 E11
3492-2 E11
3492-3 E11
3492-4 E11
3494 D5
4481 E5
5403 F4
5404 F4
5405 G4
3471 H1
3472 H1
3473 H2
3474 H2
3475 H2
T402 E10
T403 E10
T404 F10
T405 F10
T406 F10
T407 F10
T408 F10
T409 F10
T411 E7
T412 E7
T413 B7
3482 E7
T422 E6
T423 F7
T431 G10
T432 F10
T433 E7
T434 F10
T435 E7
T461 D7
T462 E7
4482 F4
4483 F4
4484 G4
4486 F11
4487 D5
5401 C8
5402 F4
5411 B8
5421 B6
5431 C6
7401 E9
7402 D5
T400 E10
T401 E10
Communication (COM)
T414 C7
T415 B9
T416 C9
T421 E6
100R 3455
100n 2453
4487
K
0
1
0
5
4
3
100R
3494
100n
BC847B
7402
2476
22R 3488-1 1 8
22R 3451
T402
4482
%
1
R
5
7
5
7
4
3
270R 3423
5431
BLM18P
5403 600R
T461
4
3
4
2
T435
n
0
0
1
n
0
0
1
5
3
4
2
%
1
2
7
4
3
R
5
7
T422
6
0
M
1
1
6
4
3
3492-3 22R 3
n
0
0
1
2
1
4
2
n
0
0
1
3
1
4
2
n
0
0
1
2
0
4
2
4481
T433
T412
T411
T431
T408
3492-1 1 8
T409
T405
22R
4486
T400
3484 22R
n
0
0
1
6
2
4
2
3430 270R
4484
p
0
0
1
8
8
4
2
7
2
4
2
p
0
0
1
4
8
4
2
3492-2 2 7
n
0
0
1
22R
p
0
0
1
5
8
4
2
100n 2474
3457
2473 100n
2K2
p
0
0
1
6
8
4
2
22R 3408
3454 2K2
%
1
0
8
4
3
T401
R
5
7
%
1
R
5
7
9
7
4
3
T462
T416
T421
T415 T413
T414
2471 100n
T423
n
0
0
1
T406
22R 3492-4 4 5
4
1
4
2
T432
T403
R
5
7
%
1
3
7
4
3
%
1
R
5
7
1
7
4
3
5421
BLM18P
n
0
0
1
3
2
4
2
3453 100R
6
7
4
3
T407
%
1
R
5
7
0
9
4
2
3481 10K
p
0
0
1
100n 2475
3407 22R
n
0
0
1
3
3
4
2
n
0
0
1
3
0
4
2
n
0
0
1
2
3
4
2
1
0
4
2
V
5
2
u
0
1
3456 2K2
1
1
4
2
V
5
2
46
45
44
43
u
0
1
18
23
73
74
75
54
53
52
51
50
47
28
29
80
1
2
7
8
9
16
17
30
9
3
9
4
2
6
8
3
8
4
1
6
7
7
6
7
33
34
8
6
1
3
1
4
5
5
7
6
71
35
37
1
8
72
64
63
60
59
58
57
40
7
2
2
3
2
4
6
5
4
1
9
1
0
2
4
2
5
2
2
2
1
2
70
69
66
65
36
9
7
8
7
3
4
0
1
1
1
6
5
5
1
L2146PFP
7401

NTSC/PAL/SECAM
VIDEO DECODER
3
1
2
1
6
2
1
3
4
2
V
5
2
u
0
1
600R 5405
100n 2480
100n 2478
2479 100n
2477
3488-2 22R 2 7
100n
270R 3428
600R 5402
4483
600R 5404
100n 2472
R
5
7
4
7
4
3
7
7
4
3
%
1
n
0
0
1
4
2
4
2
%
1
R
5
7
2462
33p
n
0
0
1
5
1
4
2
p
0
0
1
2
8
4
2
5401
BLM18P
p
0
0
1
3
8
4
2
4 5 3488-4 22R
1
2
4
2
V
6
1
u
0
3
3
p
0
0
1
9
8
4
2
5411
BLM18P
p
0
0
1
7
8
4
2
3 6
T404
R
5
7
22R 3488-3
%
1
8
7
4
3
22R 3486
n
0
0
1
2
2
4
2
22R 3482
10K 3452
2461
33p
n
0
0
1
5
2
4
2
1
6
4
1
n
0
0
1
4
0
4
2
T434
3V3D2
3V3D2
p
0
0
1
1
8
4
2
VIA_CVBS_RE_3
VIA_SC_RE_3
VIA_CVBS_TU_3
VIA_RPr_3
VIA_SY_RE_3
VIA_SY_FR_3
VIA_GY_3
VIA_CVBS_FR_3
VIA_SC_FR_3
VIA_BPb_3
VIA_SC_RE_2
VIA_RPr_2
VIA_CVBS_RE_2
VIA_CVBS_TU_2
VIA_SY_RE_2
VIA_GY_2
VIA_SC_FR_2
VIA_SY_FR_2
VIA_CVBS_FR_2
VIA_BPb_2
1V8BE
2
A
8
V
1
VIP_RST#
3V3D2
SYSRST#
VIP_SCL
VIP_SDA
1V8BE
1V8A2
1V8D2
3V3BE
3V3D2
3V3BE
3V3A2
2
A
8
V
1
2
D
3
V
3
2
D
8
V
1
2
A
3
V
3
3139_243_34464_130_04_a2.pdf 2006-03-20
31 DVDR3455
PAINEL DIGITAL INTERFACES
COM
OUT IN
1
2
IN
1
2
3
OUT
FB
OUT
COMP
VREF VCC
INH
SYNC
GND GND_HS
5
1522 A10
1536 A13
1537 C13
1541 F7
1551 D13
1552 D13
A
B
C
D
E
4581 H2
4624 H11
3590 H6
3591 H6
3592 H6
3593 H6
3594 H6
12 13
H
I
A
B
C
D
E
10 11 12 13
1 2 3 4
T576 G1
)
Y
H
P(
tr
o
p
s
n
ar
T
-
l
a
ci
s
y
h
P
4
9
3
1
E
E
EI
T531 C9
T532 C9
T533 C9
T534 C9
T535 C9
F
G
2508 H13
2511 D2
2512 D2
2513 D2
2515 A2
2516 B1
2517 B2
3681 H4
3682 H4
3683 I4
3684-1 I4
3684-2 I4
3684-3 I4
3684-4 I4
4523 D5
2625 I10
2683 I4
1571 F1
1671 F3
2502 I12
2503 I11
2505 H13
2506 H13
2507 H13
2567 F12
2583 I2
3595 A4
3596 I6
6501 C7
6502 C7
6505 C7
6506 C7
6521 D7
6595 A5
7501 H11
T566 F13
T567 F13
T568 F13
T571 F1
T572 F1
T573 G1
T574 G1
T575 G1
3672 F4
3673-4 F4
3673-1 G4
3673-2 G4
3589-3 H6
3589-4 H6
Communication
3567 E10
3568 F10
3569 F10
3570 G10
3571 F2
3572 F2
3597 I6
T536 A13
T537 A13
T577 G1
T578 G1
T579 G1
T580 G1
T581 G1
2556 F11
2557 F11
2558 F12
2559 F12
2561 F12
2596 A4
2626 I10
HDMI
3584-4 I2
3584-3 I2
ODD_IDE
3584-1 I2
3544 B11
3545 B11
3546 C11
3547 B11
3548 B11
3581 H2
2595 A4
T688 H3
T689 H3
3588-1 G6
3588-2 G6
3588-3 G6
3588-4 G6
3589-1 G6
3589-2 G6
3673-3 G4
IEEE1394
Interfaces
7 8
6 7 8 9 10 11
3
3671 F4
2548 C12
2549 C12
2552 F11
2553 F11
3575-3 G2
3575-4 G2
3576-1 G2
3576-2 G2
3576-3 H2
3576-4 H2
3584-2 I2
)
D
D
O(
e
vir
D
c
si
D
l
a
cit
p
O
T542 B13
Power supply
T543 B13
T544 B13
4681 H4
5511 C2
5513 G6
5514 G6
5518 B2
5521 C3
5525 D7
T538 A13
3582 I2
3583 I2
3585 F6
3586 F6
3587 G6
I
1501 C1
1502 H13
1511 A7
1512 A7
1521 A10
9
T599 I1
T605 I13
T600 I1
T555 E13
T556 E13
T557 E13
T558 E13
T596 I1
4 5 6
3574-4 G2
3575-1 G2
3575-2 G2
T525 B9
T526 B9
T527 B9
T528 B9
T529 C9
T530 C9
T695 H3
T694 H3
T692 H3
T696 I3
T620 F7
T621 F7
T690 H3
T539 A13
T540 B13
T541 B13
F
G
H
T585 G1
T586 G1
T587 H1
T588 H1
T589 H1
T590 H1
T601 I1
T598 I1
)
D
U
A (
o i
d
u
A
T626 G7
T624 G7
T628 G7
)
M
O
C(
n
oit
a
ci
n
u
m
m
o
C
T627 G7
HDD_IDE
T622 F7
T597 I1
T522 B9
T523 B9
T524 B9
T677 G3
T678 G3
T679 G3
T680 G3
T681 G3
T682 G3
T693 H3
T641 I7
T673 F3
T640 H7
T671 F3
T672 F3
T642 I7
T644 I7
T591 H1
T592 H1
T593 H1
T594 H1
T595 I1 3515 E2
3521 C5
3522 D5
3523 C6
T674 G3
T675 G3
T676 G3
T611 A7
T612 A7
T613 A7
T614 A7
T615 B7
T616 B7
T625 G7
3557 E10
3552 D10
USB
3556 E10
3553 E10
3551 G10
3555 F10
2562 F11
2563 F11
2564 F11
2565 F12
2566 F12
3549 C11 T623 G7
3501 H11
3502 H11
3503 I13
T632 G7
T633 H7
T634 H7
T636 H7
T637 H7
T638 H7
T520 A9
)
A
O
V(
g
ol
a
n
A
t
u
pt
u
O
o
e
di
V
T519 A9
T504 C1
3676-2 G4
3676-3 G4
3676-4 G4
T515 A2
T606 I13
T607 I13
T608 I13
3538 A11
3539 A11
3540 B11
3541 B11
3542 B11
3543 B11
3679-3 H4
)
D
D
H (
e
vi r
D
c
si
D
d r
a
H
)
AI
V(
g
ol
a
n
A
t
u
p
nI
o
e
di
V
3679-4 H4
3577 H2
3578 H2
3579-1 H2
3579-2 H2
3579-3 H2
3579-4 H2
3580 I2
3677 H4
T629 G7
T630 G7
T631 G7
7522 D6
7568 F10
7595 A4
T501 C1
T502 C1
T503 C1
T521 A9
T639 H7
T687 G3
T686 G3
Video IO T683 G3
T685 G3
T684 G3
2542 C12
2543 C12
7511 E2
7515 A2
7521 C4
3674-4 G4
3675-1 G4
3675-2 G4
3675-3 G4
3675-4 G4
3676-1 H4
3680 I4
T564 E13
T565 E13
T505 C1
T506 C1
T507 C1
T508 C1
T509 C1
T510 D1
T511 D1
T559 E13
3678 H4
3679-1 H4
3679-2 H4
2518 C2
2519 C2
2520 C2
T700 I3
T701 I3
T702 B2
T703 C2
T704 C4
T705 D7
3574-3 G2
3574-2 G2
3574-1 G2
3573-4 G2
3573-3 G2
3512 E2
3513 E2
3674-1 G4
3674-2 G4
3674-3 G4
T548 C13
T549 C13
T551 D13
T552 D13
T553 E13
T554 E13
Audio IO
T545 B13
T546 B13
T547 B13
3558 E10
3559 F10
T560 E13
T561 E13
T562 E13
T563 E13
T697 I3
T698 I3
T699 I3
3560 F9
3561 F10
3562 E10
3563 E10
3564 F10
3565 E10
3566 E10
3573-2 G2 T518 A9
3530 D6
3531 D7
3537 A11
2521 C4
2522 D3
2523 D4
2525 D7
2526 D8
2527 D6
)
D
O
V(
l
ati
gi
D
t
u
pt
u
O
o
e
di
V
2544 C12
2545 C12
2541 C11
2546 C13
2547 C12
1 2
3573-1 G2
T691 H3
T582 G1
T583 G1
T584 G1
3504 I13
3505 I13
3506 I13
3507 H11
3508 H11
3511 E2
3529 D7
3528 D6
2529 D7
3514 E2
2528 D6
T516 C8
T517 A5
n
0
0
1
6
2
5
2
5
6
7
8
9
13
14
15
16
17
18
19
2
3
4
1551
19FMN-BMT-A-TFT
1
10
11
12
n
0
0
1
6
2
6
2
T552
3581 4K7
T563
4681
22R 3504
1
2
5
2
V
6
1
u
0
3
3
T704
T703
T702
T571
T519
4 5
T555
33R 3674-4
0
K
1
1
3
5
3
4 5
BLM31
5521
3573-4 33R
680R 3583
T558
T680
T505
5
6
7
8
T582
BM06B-SRSS-TBT
1512
1
2
3
4
22R 3557
3538 22R
3555 22R
T698
p
2
2
3
5
5
2
7
5
5
2
p
2
2
33R 3589-1
3589-4 33R
0
K
1
5
1
5
3
T515
T590
T516
4K7 3681
3671 10K
7
T561
3576-2 33R 2
T699
T692
T693
T508
3556 22R
3679-2 2 7
T542
3 6
33R
33R 3679-3
p
2
2
7
4
5
2
T691
T675
T546
T518
3588-2 33R
33R 3588-1
T634
T534
T633
T503
n
0
0
1
5
0
5
2
BLM31
5511 n
0
0
1
3
2
5
2
n
0
0
1
T566
4
2
6
4
5
9
5
2
T581
3678 33R
T573
T536
33R 3680
4K7
T683
3677
n
0
0
1
T682
8
0
5
2
n
0
0
1
2
0
5
2
T593 4581
T697
33R 3584-4 4 5
33R 3588-3
T596
T613
T522
T681
T678
3565 22R
22R 3541
p
2
2
3
4
5
2
T701
33R 3578
T588
T595
T559
7511
BC857B
T504
T572
3552 22R
T695
5525
0
3
5
3
0
K
1
10u
22R 3559
5
1
5
2
V
5
3
u
2
2
n
0
0
1
7
0
5
2
5
6
7
8
9
4
2
S
S
1
2
5
6
33
34
35
36
37
38
39
4
40
22
23
24
25
26
27
28
29
3
30
31
32
14
15
16
17
18
19
2
20
21
1-1734160-4
1571
1
10
11
12
13
T548
3545
T502
22R
22R 3558
2 7 3575-2 33R
T549
T501
3
4
5
6
7
8
9
15
16
17
18
19
2
20
21
22
23
24
24FMN-BMT-A-TFT
1537
1
10
11
12
13
14
T685
T688
33R 4 5 3576-4
8
4
5
2
T556
22R
p
2
2
22R
3546
3549
22R
3 6
3548
3574-3 33R
22R 3566
n
2
2
8
2
5
2
T626
T624
T625
T623
3576-3 3 6
T594
33R
3594 33R
T511
3592 33R
33R 3591
3590 33R
33R 3587
3586 33R
T629
T621 3585
T597
33R
T601
8
5
5
2
p
2
2
T672
T531
22R 3562
1 8
T690
33R 3684-1
T694
T620
T547
3589-2 33R
33R 3582
33R
T676
3682
p
2
2
9
4
5
2
BC847B
7522
T606
T605
1%
2
1 3
5K6
3523
33R 2 7
LD29150DT25R
7515
T525
3579-2
T538
T507
T627
T614
T686
T589
T677
4 5 3684-4 33R
3
1
5
3
R
2
2
T576
0
K
1
1
5
5
3
3588-4 33R
T689
T532
3529
1K0
T636
T520
33R 3593
7568
T586
BC857B
2
3
4
5
6
7 8
T578
B6B-PH-SM4-TBT(LF)
1511
1
3505
T598
8
15K
3675-1 33R 1
2 7
2 7
33R 3674-2
1 8
33R 3673-2
T615
33R 3679-1
33R 3573-3 3 6
BLM31 5513
T642
T644
T640
T641
T639
8
6
5
3
2
K
2
5
CD
3
GND
2
INP
4
NC
OUTP
1
10K
7595
NCP303LSN30
3502
n
0
0
1
2
1
5
2
BLM31
T539
CHASSIS3
5518
T562
22p 2583
2 7
T567
3 6
33R 3675-2
3675-3 33R
n
0
0
1
6
0
5
2
T591
T506
p
2
2
1
6
5
2
V
5
3
u
2
2
3544 22R
5
2
6
2
1 8 3574-1 33R
T592
15K
2596 22p
3506
T574
33R 2 7
n
0
0
1
9
1
5
2
3574-2
5
0
5
6
0
6
7
T
A
B
T554
T583
4
40
5
6
7
8
9
41 42
30
31
32
33
34
35
36
37
38
39
20
21
22
23
24
25
26
27
28
29
3
10
11
12
13
14
15
16
17
18
19
2
1541
40FLZ-RSM2-R-TB(LF)(SN)
1
T608
T607
1
1
5
2
V
6
1
u
0
2
2
8
1
5
2 u
0
2
2
V
6
1
%
1
K
2
1
2
2
5
3
p
2
2
6
6
5
2
p
2
2
5
6
5
2
p
2
2
4
6
5
2
p
2
2
3
6
5
2
p
2
2
2
6
5
2
22R 3561
4
5 6
T632
B4B-PH-SM4-TBT(LF)
1502
1
2
3
19 20
T637
18
2
3
4
5
6
7
8
9
1
10
11
12
13
14
15
16
17
9
6
5
3
18FMN-BMT-A-TFT
1536
2
K
2
5514 BLM31
T551
2683 22p
T510
33R 3576-1 1 8
T631
9
5
5
2
p
2
2
T543
3676-4 33R 4 5
6595
BAS316
10K 3571
8
EN
4
1
D
N
G
2
3
5
OC_
6
7
8
TPS2051AD
7501
6
33R 3673-1 1
33R 3673-3 3
T599
T577
3683 680R
T526
3501 10K
3574-4 4 5
2
K
2
1
1
5
3
33R
CHASSIS1
T638
T671
p
2
2
2
4
5
2
3560
100R
T524
T568
2
0
5
6
0
6
7
T
A
B
0
6
7
T
A
B
1
0
5
6
3580 33R
p
2
2
7
6
5
2
n
0
0
1
3
0
5
2
33R 3575-4 4 5
T674
T517
4 5
22R 3564
1 2
3673-4 33R
3672 33R
T540
T564
3542 22R
3547 22R
p
2
2
1
4
5
2
T584
22R 3543
T535
T587
T509
33R 3 6
3679-4 33R 4 5
3579-3
2
3
4
5
6
7
8
9
18 19
CHASSIS4
1552
1
10
11
12
13
14
15
16
17
17FMN-BMT-A-TFT
T553
5
6
7
8
9
13 14
n
0
0
1
6
1
5
2
1
10
11
12
2
3
4
2 7
B12B-PH-SM4-TBT(LF)
1501
3584-2 33R
6
0
5
6
0
6
7
T
A
B
T528
3521
240R 1%
9
2
5
2
n
2
2
T705
22R 3537
1 8 33R 3575-1
T696
CHASSIS2
T575
T684
3508 10K
T580
6
4
5
2
3577
p
2
2
4K7
22R 3539
7
3540 22R
3573-2 33R 2
T537
T585
T579
22R 3553
T612
3676-2 2 7
T565
T521
33R
3503
V
6
1
u
0
3
3
22R
n
0
0
1
3
1
5
2
5
2
5
2
3
2
5
4
T523
2 7
T600
T557
3684-2 33R
T611
24
3
4
5
6
7
8
9
14
15
16
17
18
19
2
20
21
22
23
1
10
11
12
13
1 8
1522
24FMN-BMT-A-TFT
T616
3579-1 33R
T545
3572 33R
22R 3563
p
0
2
2
7
2
5
2
3507
T533
10K
p
2
2
2
5
5
2
p
2
2
6
5
5
2
T527
33R 3676-3 3 6
T673
R
2
2
0
7
5
3
29
3
30
4
5
6
7
8
9
2
20
21
22
23
24
25
26
27
28
10
11
12
13
14
15
16
17
18
19
1521
30FMN-BMT-A-TFT
1
p
2
2
4
4
5
2
T622
3597 33R
33R 3596
8
2
5
3
%
1
7
K
4
3567 22R
T529
T530
39
4
40
5
6
7
8
9
n
0
0
1
7
1
5
2
3
30
31
32
33
34
35
36
37
38
20
21
22
23
24
25
26
27
28
29
10
11
12
13
14
15
16
17
18
19
2
1-1734160-4
1671
1
n
0
0
1
2
2
5
2
T544
T679
T700
3584-1 33R 1 8
0
2
5
2
33R 4 5
n
0
0
1
3674-3 33R 3 6
3579-4
3584-3 3 6
1 8
33R
33R 3573-1
p
2
2
5
4
5
2
7
K
4
5
9
5
3
1
2
8 6
T541

4
5
7 9
3
T687
7521
L5973D
100R
3514
2
1
5
3
T560
33R 3675-4 4 5
2
K
2
1 8 3674-1 33R
1 8 33R 3589-3
6
33R 3676-1
33R 3684-3 3
3 6 T630 3575-3 33R
T628
5V
3V3
HDDSW
5VBE
5V
5V_USB
COM_SCL_2
COM_DHOST_1
COM_SDA_2
HDMI_INT_1
COM_TUNDET0_1
COM_FANSW_1
COM_SBSCRT1_1
COM_SBSCRT0_1
COM_FBSCRT_1
COM_AINSEL1_1
COM_AINSEL0_1
COM_FPSCK_1
2V5BE
5V
SYSRST#
1V8BE
HDD_DD(1)_1
HDD_DD(14)_1
HDD_DD(2)_1
HDD_DD(13)_1
HDD_DD(3)_1
HDD_DD(12)_1
HDD_DA(1)_1
ODD_DA(1)_1
12V
HDD_INTRQ_1
HDD_DA(0)_1
HDD_DA(2)_1
HDD_CS0#_1
HDD_CS1#_1
HDD_DD(8)_1
HDD_DD(6)_1
HDD_DD(9)_1
HDD_DD(5)_1
HDD_DD(10)_1
HDD_DD(4)_1
HDD_RST#_1
HDD_DD(11)_1
HDD_DD(0)_1
HDD_DD(15)_1
HDD_DMARQ_1
HDD_DIOW#_1
HDD_DIOR#_1
HDD_IORDY_1
HDD_DMACK#_1
HDD_DD(7)_1
ODD_DD(6)_1
ODD_DD(9)_1
ODD_DD(5)_1
ODD_DD(10)_1
ODD_DD(4)_1
ODD_DD(1)_1
ODD_DD(14)_1
ODD_DD(2)_1
ODD_DD(13)_1
ODD_DD(3)_1
ODD_DD(12)_1
ODD_DIOR#_1
ODD_IORDY_1
ODD_DD(7)_1
ODD_INTRQ_1
ODD_DMACK#_1
ODD_DA(0)_1
ODD_DA(2)_1
ODD_CS0#_1
ODD_CS1#_1
ODD_DD(8)_1
HOSTRST#
3V3
ODD_RST#_1
ODD_DD(11)_1
ODD_DD(0)_1
ODD_DD(15)_1
ODD_DMARQ_1
ODD_DIOW#_1
5V
5VBE
3V3BE
5VBE
5VBE
5VBE
5VBE
5VBE
5VBE
3V3BE
HOSTRST#
3139_243_34464_130_05_a2.pdf 2006-03-20
32 DVDR3455
PAINEL DIGITAL - LAYOUT PARTE PRINCIPAL ( SUPERIOR)
DVDR3455D_TopView.pdf 2006-05-25
33 DVDR3455
PAINEL DIGITAL- PARTE PRINCIPAL (INFERIOR)
DVDR3455D_BtmView.pdf 2006-05-25
34 DVDR3455
UNIDADE FONTE DE ALIMENTAO - ESQUEMA ELTRICO
3139_247_12862.pdf 2006-05-31
35 DVDR3455
8.1 Painel PSU
8.1.1 Geral

Figura 8-1 Layout do painel PSU
O painel PSU provm as seguintes conexes para o resto do aparelho:
Conector A: Fonte/Sinal para o painel analgica con. 1401
Serve como alimentao para o Painel Digital.
Conector B: Fonte/Sinal para Painel Analgico con. 1402
Serve como 12VBE para o Painel Digital, alimentado apenas por
acionamento das linhas Standby do transistor 7421 (Painel Anal-
gico)
S O cabo eltrico dever estar desconectado do aparelho antes
da realizao dos procedimentos mencionados abaixo:
A PSU projetada com proteo de curto-circuito que ir desligar
a fonte de alimentao. Quando isso acontecer, a tenso armaze-
nada no capacitor C1 e C40 ir impedir que a fonte de alimenta-
o ligue, consequentemente eles devem ser descarregados com
uma chave de fenda com isolante de alta tenso, antes que a PSU
funcione normalmente novamente.
Nota: Durante este processo de descarga dos capacitores, poder
ocorrer fascas, o que tpico de alta tenso armazenada no capa-
citor C1 e C15.
8. Circuito e Descries de IC
Pin no. Supply / Signal Remarks
1 12V
2 GND
3 5V
4 3.3V
5 GND
Pin no. Supply / Signal Remarks
1 VGN
2 5N
3 GND
4 IP_FAIL HIGH>4.0v = power good
LOW<4.0v =power fail
5 GND
6 12V
36 DVDR3455
8.2 Painel Frontal (Painel - Display + Teclado)
8.2.1 Geral
O painel consiste das seguintes partes:
Driver de Controle FIP
Frontend (udio e Vdeo)
VFD Gerador de tenso de aquecimento
8.2.2 Driver de Controle FIP (IC 7105: UPD16316GB)
O ncleo do Display Frontal + Teclado o Driver de Controle FIP,
isto liga uma fonte 5V e responsvel pelas seguintes funes:
Interagir com o chip Domino no Painel Digital
Avaliao da matriz teclado dentro do Painel Frontal
Decodicar os comandos do controle remoto do receptor de
infra vermelho
Ativao e controle do display
Ativao do Wake-Up Temporizador
Segue duas frequncias de pulsos de disparo:
5MHz para operaes normais
32.768KHz para tempo real no relgio
8.2.3 Interface com Domino chip
Este comunica-se com o Domino Host no painel digital via inter-
face serial sincronizada 6-os. O Host sempre o mestre para
gerar a comunicao clock com o Driver de Controle FIP sem se
relacionar com a direo da transferncia de dados.
8.2.4. Avaliao da matriz do teclado
A tecla matriz usada no painel frontal. O slave P faz o esca-
neamento da tecla com FIP9 - FIP24 (pino 23-26 e 29-40) como
sada e KEY_A-KEY_C (pino 41-43) como entrada. Cada tecla
atribuda a um cdigo de tecla baseado nas portas de entrada e
sada, e o Driver de Controle FIP ir avaliar pelo cdigo da tecla.
8.2.5. Receptor IR e avaliao de sinal.
O receptor IR no painel frontal contm um amplicador seletiva-
mente controlado assim como um fotodiodo. O fotodiodo trans-
forma a recepo da transmisso de infra vermelho para pulsos
eltricos, os quais so amplicados e demodulados. Na sada do
receptor IR, uma sequncia de pulso com o nvel TTL, que corres-
ponde a curva envelope do comando IF do controle remoto pode
ser medido. Esta sequncia de pulso alimentada no Driver de
Controle FIP para processos posteriores via pino 13.
8.2.6. Display Florescente a vcuo [1203: HUV-08SS65T]
O VFS totalmente controlado e dirigido pelo Driver de Controle
FIP.
8.2.7. VFD Gerador de tenso de aquecimento
O circuito oscilador fornecido pela [5100, 2101, 2102 & 7100]
fornece o transistor do sinal da onda do seno [7101, 7102 & 7103]
para gerar 50% duty-cycle 48Khz AC formas de ondas para o
lamento do VFD.
8.2.8. Ativao do temporizador Wake-up
Durante o modo Standby, o Driver de Contole FIP fornece um
servio de despertador (POWER_CTL-linhas mudam para alto),
ento o Domino Host (no painel digital) inicia e pede pela razo do
Wake-up.
8.3. Painel Analgico
8.3.1. Geral
A PCBA consiste das seguintes partes:
Controle de ventilao
Tuner frontend
udio ADC/DAC
8.3.2. Tuner Frontend [1100 : TMQZ2]
O painel analgico suporta 2 possveis unidades de Tuner Fron-
tend chamadas:
1101 - PAL BG, DK e I Broadcast System
1100 - NTSC-M Broadcast System
Contm uma entrada RF para conexo com antena e sada RF
que fornece um RF loop through para conexo com TV.
O Frontend (Tuner e IF-demodulador) so controlados por 12C
(SCL_5V- e SDA_5V-) linhas providas pelo Domino Host no painel
digital.
O processamento de vdeo completo feito nesta unidade e a
sada de vdeo (CVBS) feita do pino [VIDEO_OUT] via transis-
tor pino 13 como CVBS_TV-line para o circuito de vdeo I/O. O
componente de udio-IF SIF1 so feitos do pino [SIF_OUT] pino
10 por demodulao pelo processador Multi-sound (MSP).
Demodulador de udio
A demodulao de udio feita pelo MSP3425 [7304], que
tambm totalmente controlado via barramento 12C pelo Domino
Host. Os sinais de udio so disponveis no pino 30 e pino 31
e alimentados como AIA_R_MSP & AIA_L_MSP line para o udio
I/O para processamentos posteriores.
37 DVDR3455
8.3.3 Roteador de udio
Figura 8- 2 udio Analgico IO
1
U
D
A
1
3
6
1
T
S
P
O
S
:7
2
0
3
A
D
C
A
_
D
A
T
A
t
o

F
E
B
E

/

d
i
g
i
t
a
l

b
o
a
r
d
3
A
L
A
D
C
A
R
A
D
C
0
d
B
0
d
B
A
u
d
i
o

I
O
T
u
n
e
r
S
I
F
1
A
M
C
O
A
I
N
F
R
A
I
N
F
L
R
S
A
1
R
S
A
2
A
I
N
2
L
A
I
N
2
R
S
I
F
1
S
D
A
_
5
V
S
C
L
_
5
V
A
O
U
T
B
A
O
U
T
A
A
K
I
L
L
A
K
I
L
L
D
i
g
.
A
u
d
i
o

O
u
t
f
r
o
m

d
i
g
i
t
a
l
b
o
a
r
d
A
R
A
L
C
S
4
3
5
1
P
O
S
:7
2
0
6
D
A
C
A
O
U
T
A
A
O
U
T
B
D
_
D
A
T
A
f
r
o
m

F
E
B
E

/
d
i
g
i
t
a
l

b
o
a
r
d
M
S
P
3
4
1
5
G
2
I
2
C

C
o
n
t
r
o
l
8
9
1
2
1
3
2
6
2
7
3
1
3
0
4
0
4
1
3
8
3
7
Q
.
P
e
a
k

D
e
t
S o u r c e s e l e c t
D
e
m
o
d
u
l
a
t
o
r
D
A
C
M
_
L
D
A
C
M
_
R
S
C
1
_
O
U
T
_
L
S
C
1
_
O
U
T
_
R
L
H
L
o
g
i
c
1 3 5 1
1
0
9
L
L
H
L
M
S
B
L
S
B
H
E
F
4
0
5
2
B
2 5
1
2
L H L H
H
H
L
H
L
L
H
L
H
H
3
4
1
5
1
4
1
1
1
3
1
6
M
S
B
/
L
S
B
P
O
S
:
7
2
0
1
A
I
A
_
R
_
M
S
P
A
I
A
_
L
_
M
S
P
A
R
D
A
C
A
L
D
A
C
1
6
1
4
V
O
R
V
O
L
f
r
o
m

C
U
M
U
T
E
D
K
I
L
L
I
P
F
A
I
L
A
K
I
L
L
A
M
U
T
E
C
B
M
U
T
E
C
M
o
d
u
l
a
t
o
r
A
F
E
R
A
F
E
L
A
I
N
F
R
A
I
N
F
L
D
A

O
U
T
A
M
C
O
R
E
A
R

O
U
T
2
(
C
V
B
S

/

Y
C
)
R
E
A
R

O
U
T
1
(
Y
U
V
)
A
R
A
L
A
I
A
_
R
_
R
E
2
A
I
A
_
L
_
R
E
2
A
I
A
_
R
_
R
E
1
A
I
A
_
L
_
R
E
1
R
E
A
R

I
N
-
E
X
T
2
(
C
V
B
S

/

Y
C
)
R
E
A
R

I
N
-
E
X
T

1
(
Y
U
V
)
A
I
N
1
R
A
I
N
1
L
O
p
t
i
c
a
l

A
u
d
i
o

O
u
t

(
O
P
T
I
O
N
)
M
U
T
E
A
M
U
T
E
C
B
M
U
T
E
C
M
U
T
E
38 DVDR3455
O processameto de udio sempre feito em stereo (isso signica
separao entre os canais direito e esquerdo) e a troca completa
realizada usando HEF4052, que um duplo multiplexer quatro por
um e MSP3415G, processador Multi-sound.
a) Trajeto de gravao
A seleo completa de sinal de udio para gravao feita por
HEF4052 [7201], que um duplo multiplexer quatro por um. As
linhas de entrada para o seletor [7301] so providas pelo MSP
[7304] (AIA_LMSP/AIA_R_MSP) ou entrada de cinch traseiro (Ext
AIA_R_RE1AIA_L_RE1) ou a entrada de cinch frontal (AIA_RFR/
AIA_L)FR). O [7201] controlado via sinais RSA 1- e RSA 2- provm
do MSP [7500]. O MSP atua como uma porta expansora do Driver
de Controle FIP. O Op-Amp na sada [7201] necessrio para
razes de desempenho e atua tambm como um driver. Os sinais
selecionados ALADC* e ARADC* so diretamente alimentados
pelo udio-ADC. Como existe tambm uma quinta entrada (DV-in),
os correspondentes sinais de udio (ALDAC*/ARDAC*) do painel
digital so distribudos via MSP [7304] e sada como AIA_R_FR/
AIA_L_FR para seletor [7201]
b) Sada de cinch
O Multiplexer (HEF7201) seleciona sinais de poucas fonte, cha-
madas Cinch Frontal de Entrada (AIA_R_RE1/AIA_L_RE1) Cinch
Frontal de Entrada (AIA_R_FR/AIA_L_FR) e MSP (AIA_L_MSP/
AIA_R_MSP). O multiplexer controlado via sinais RSA 1 e RSA 2
vindos do MSP.
c) Trajeto de sada digital de udio.
Adiconado sada analgica o aparelho tambm equipado com
sada de udio digital via plug cinch [1131]. O sinal gerado no
painel digital e distribudo via cabo de interface de udio e conec-
tor [1600] para o painel analgico. Aqui o DAOUT-line primeiro
passa por um inversor 6-fold [7700] usado como um driver e para
razes de performance (reduo de rudo, jittler, etc.).
8.3.4. Audio ADC/DAC
A converso de sinais analgicos de udio (ALADC*/ARADC*)
do seletor de gravao [7201] feita via AID_DAT [7203]. Este IC
pode processar sinais de entrada at 2Vrms utilizando resistores
externos em srie com os pinos de entrada. Todos os sinais de
clock exigidos so gerados no painel digital e somente os dados
de udio (AID_DAT) so distribudos do analgico para o painel
digital para processos posteriores.
A transformao de udio digital para analgico feita por CS4351
[7206]. Todos os sinais clock necessrios provm do painel digital
e dados de udio digital, (D_DATA0-line) so convertidos em sinais
analgicos (pino 15 e 18). Os sinais de sada da parte udio DAC
(AOUTA/AOUTB) so diretamente distribudas para os soquetes
cinch traseiros. Para evitar plops e outros rudos audveis, existe
na sada um estgio mute implementado para cada canal. A ativa-
o da funo mute feita via AMUTEC e BMUTEC (mute digital
de silncio) do udio DAC e tambm a linha AKILL que uma
combinao de D_KILL do painel digital e POWER_FAIL da fonte
de alimentao.
*Nota: ALADC refere-se ao VINL do IC7203
ARADC refere-se ao VINL do IC7203

39 DVDR3455
8.3.5 Roteamento de vdeo
Figura 8-3 Vdeo Analgico IO
V
i
d
e
o

I
O

T o d i g i t a l b o a r d
Y
_
R
E
A
R
C
V
B
S
_
R
E
A
R
C
_
F
I
N
Y
_
F
I
N
R
E
A
R

I
N
-
1
Y
V

(
P
r
)
U

(
P
b
)
R
E
A
R

O
U
T
Y
V

(
P
r
)
U

(
P
b
)
V
i
d
e
o

I
O
T o d i g i t a l b o a r d
A
_
V
R
E
A
R

I
N
-
E
X
T
1
Y
V

(
P
r
)
U

(
P
b
)
R
E
A
R

O
U
T
1
Y
V

(
P
r
)
U

(
P
b
)
F r o m d i g i t a l b o a r d
V
I
A
_
R
P
r
V
I
A
_
B
P
b
V
I
A
_
G
Y
V
O
A
_
S
Y
V
O
A
_
S
C
C
V
B
S
F R O N T I N
Y
/
C
Y
_
R
E
A
R
C
_
R
E
A
R
W
S
R
I
t
o

C
U
C
V
B
S
_
R
E
A
R
C
V
B
S
R E A R I N - E X T 2
A
_
Y
C
V
B
S
_
F
I
N
A
_
U
C
_
R
E
A
R



D
_
C
V
B
S
T
U
N
E
R
R E A R O U T 2
C
V
B
S
R
_
O
U
T
R E A R O U T
S - C O N N
Y
/
C
W
S
R
O


C
R
_
O
U
T
V
Y
_
O
U
T
C
V
B
S
_
T
V
40 DVDR3455
A mudana das variadas entradas de sinais de vdeo so feitas
pelo Processador de Entrada de Vdeo no painel digital. Estes
sinais so diretamente distribudos para o painel digital pelo
conector 1122 no painel analgico.
8.4. Painel Digital
O painel digital baseado na alta integrao LSI Domino chip
BGA (Ball Grid Array), DMN-8652. Este IC contm 2 chips internos
ATAPI controlado e integrado a um codicador de vdeo, e fornece
suporte interno para no-simultneo progressivo e interlaada
sada de vdeo. Um funo camada de link 1394 tambm
integrada, exigindo somente um dispositivo externo simples da
camada fsica. O painel codica e multiplexa vdeo analgicos e
descompressa udio digital (I2S) em corrente MPEG2.
Esta corrente MPEG2 formatada para gravao pelo DVD+RW.
Na reproduo, o painel ir decodicar o vdeo MPEG2 em vdeo
analgico. Adicionando, uma corrente DV pode ser recebida via
IEEE 1394 (i-link), e transformada para o formato MPEG2.

8.4.1 Modo de gravao
Figura 8-4 Bloco Domnio
(1) analogue CVBS / YC and RGB/YUV
(2) analogue CVBS, YC, RGB/YUV
DDR SDRAM
16M X 16Bit
TO/FROM FRONTEND PART
ATAPI
VIP
TVP5146
1394
TSB41AB1
DMN 8652
7101
1FH VIDEO
OUT
(2)
DIG. AUDIO OUT
I
2
S AUDIO OUT
I
2
S AUDIO IN
1FH VIDEO IN
(1)
I
2
C
7401
ITU656
CLOCK
7304
1512
For DV-in version only
FROM POWER SUPPLY
5V 12V 3V3
1394
CONNECTOR
FLASH
16M Bit
7211/7231
7294
13.5MHz
41 DVDR3455
Parte Vdeo
Os sinais de entrada de vdeo digital do DV no painel frontal so
distribudos do conector 1521 via IEEE 1394 PHY IC [7301] para o
chip Domino [7101]
O Processador de Entrada de Vdeo codica o vdeo analgico
para a corrente de vdeo digital (formato CCIR656). A corrente de
sada, chamada VID_D ( 9 : 0 ), ento distribuda para o chip
Domino. Este IC codica e decodica a corrente de vdeo digital
em/para o formato MPEG2.
Parte udio
udio I2S enviada painel analgico para o chip Domino via
conector 1536.
O chip Domino comprime o dado de udio I2S em uma corrente de
udio MPEG1-L2/AC3.
Front-end I2S
O chip Domino interage diretamente com o motor bsico via ATAPI
conector 1571.
Isto armazena as correntes de dados que vem (ou vo) do motor
bsico.
No chip Domino, a corrente de vdeo MPEG2 e a corrente de
udio AC3 so enviadas para o motor bsico para gravao por
barramento ATAPI.
8.4.2. Modo Reproduo
Durante a reproduo, os dados do motor bsico vo diretamente
para o chip Domino via interface ATAPI. O chip Domino tem as
seguintes sadas:
Vdeo analgico CVBS, YC e sadas RGB no conector 1521
udio I2S (formato PCM) no conector 1536
udio SPDIF (sada digital de udio) no conector 1536

8.4.3. Interface Motor Bsico
O painel digital est equipada com um barramento IDE (ATAPI)
para conexo com o motor bsico.
O chip Domino tem um complexo sistema, que necessrio para
suportar a execuo do processo nas diferentes frequncias
assim como na decodicao de vdeo, decodicao de udio
ou dispositivos perifricos I/O etc. Para assegurar uma iniciao
sincronizada de todos os registros e o estado das mquinas, todos
os PLLs so trocados para suas frequncias padro 27MHz.
Ento quando a unidade de controle carregada foi corretamente
inicializada e uma vez capturou todos os parmetros carregados,
ajusta os PLLs as suas frequncias funcionais. Graas a um
mecnismo de bloqueio do clock, o chaveamento da frequncia
grtis.
Sistema de Clocks:
DMN-8652 (7101, pino A1 e A2) : 13.5MHz fornecidos pelo xtal
1101
DMN-8652 1394-LINK (7101, pino K1) : 49.152MHz fornecidos
pelo 1394-PHY
TVP5146 (7401, pino 74 e 75) : 14.31818MHz fornecidos pelo
xtal 1461
SDRAM (7211 e 7231, pino 45 e 46) : 150MHz fornecidos pelo
DMN-8652
TSB41AB1PHP IEEE 1394 PHY IC (7301, pino 42 e 43) :
24.576MHz fornecidos pelo xtal 1351
8.4.4 Distribuio de clock
Figura 8-5 Dominio_Clock
FRONTEND INTERFACE
VIP
TVP5146
DMN 8652
7101
7401
7301
1934 PHY
24.576 MHz
14.31818MHz
13.5 MHz
7211
SDRAM
7231
SDRAM
150 MHz
42 DVDR3455
8.4.5. Fonte de alimentao
O painel digital no tem energia no modo Standby. O sinal de con-
trode STBY no painel digital habilita a PSU e a energia no painel
digital.
STBY = Baixo: o painel digital est desligada no modo Standby.
STBY = Alto: a fonte de alimentao para o painel digital est
habilitada.
O 3V3, +5V +12V vem da PSU, enquanto as seguintes tenses
so geradas no painel digital:
O ncleo da tenso 1.8V gerado no painel por um regulador
de tenso baixo 2A [7521]
A fonte 2.5V da SDRAM gerada por um regulador linear de
sada baixa ultra rpida[7515]
A fonte 1.25V DDR gerada pelo regulador [7201]

8.4.6. Memria
FLASH IC7294 : esta memria contm os parmetros de carga e
aplicaes rmware.
Conceito Reset no painel digital
O resto do circuito [7595] cuida para que dispositivos diferentes
no painel digital seja reinicializado na ordem correta. A energia no
circuito reset fornece os seguintes resets (delay 1 ):
SYS_RST# para o chip Domino [7101] e memria FLASH
[7294]
O chip Domino ento gera outros sinais de reset (delay 2) via
seus GPIOs:
VID_RST# para resetar o VIP [7401]
LINK_RST# para resetar o IEEE 1394 DV PHY IC [7301]
IDE_RST#_1 para resetar o Motor bsico

8.4.8. Conector I/O
Conector udio IO (item 1563)
O conector de udio IN/OUT (AIO) utilizado para intercambiar os
sinais de udio digital entre os paineis analgico e digital.
Conector Vdeo IO (item 1521)
O conector de vdeo IN/OUT (VIO) utilizado para intercambiar os
sinais de vdeo anlogos entre os paineis analgico e digital.
8.4.7 Reset
Figura 8-6 Dominio_Reset
DMN 8652
Delay t
1
Basic Engine
VAD8041
Delay t
2
VIP (TVP5146)
Delay t
2
PDI1394P25BD
Delay t
2
FLASH MEMORY
Delay t
1
POWER ON
RESET & LOW
VOLTAGE
DETECTION
NCP303LSN30
IC7595
HOSTRST
5V Supply
FRONT
MICROPROCESSOR
RSTn
SYSRST#
LNK_RST#
VID_RST#
VIP_RST#
IDE_RST#_1
43 DVDR3455
8.5. Descrio do IC
8.5.1. Painel Analgico
IC7304 - Famlia Processador de udio Multistand

Diagrama em bloco
Figura 8-7
MSP34x5G
D_CTR_I/O-1
D_CTR_I/O-0
SC1_OUT_R
SC1_OUT_L
I2S_DA_OUT
DACM_R
DACM_L
26
27
16
30
31
8
9
ANA_IN+
ANA_IN-
I2S_DA_IN1
I2S_DA_IN2
ASG
SC1_IN_L
SC1_IN_R
SC2_IN_L
SC2_IN_R
MONO_IN
ADR_SEL
I2C_CL
I2C_DA 13
12
10
43
37
38
40
41
39
21
17
2
3
18
A
D
R
_
C
L
I
2
S
_
W
S
X
T
A
L
_
I
N
I
2
S
_
C
L
X
T
A
L
_
O
U
T
14 15 5 6
A
V
S
S
44
A
H
V
S
S
A
G
N
D
C
D
V
S
S
V
R
E
F
1
V
R
E
F
2
V
R
E
F
T
O
P
R
E
S
E
T
Q
S
T
A
N
D
B
Y
Q
T
E
S
T
E
N
T
P
7 4 11 22 42 25 29 20 36 35
C
A
P
L
_
M
34
D
V
S
U
P
A
V
S
U
P
A
H
V
S
U
P
33 19 1
N
.
C
.
23 24 28 32
N
.
C
.
N
.
C
.
N
.
C
.
De-
Modulator
Pre-
processing
Source
select
Pre-
processing
ADC
ADC Prescale
SCART
DSP
input
select
Loud-
speaker
sound
proeessing
Loud-
speaker
sound
proeessing
DAC
DAC
SCART
Output
select
X'tal
Oscillator
I
2
S
Control
I
2
C
Control
ADR BUS
44 DVDR3455
CONFIGURAO DO PINO
IC7206 - 192KHz Stereo- DAC com 2vrms line-out
DIAGRAMA EM BLOCO
Figura 8-8
Figura 8-9
PMQFP44 package
CAPL_M
AHVSS
AGNDC
SC2_N_L
SC2_N_R
ASG
SC1_N_L
SC1_N_R
VREFTOP
MONO_N
AVSS
RESETQ
2S_DA_N2
DVSS
DVSUP
ADR_CL
2S_DA_N1
2S_DA_OUT
2S_WS
2S_CL
2C_DA
2C_CL
NC
SC1_OUT_L
SC1_OUT_R
VREF1
NC
AHVSUP
DACM_L
DACM_R
VREF2
NC
NC
ANA_N1
ANA_N
TESTEN
XTAL_N
XTAL_OUT
AVSUP
TP
D_CTR_/O1
D_CTR_/O0
ADR_SEL
STANDBYQ
MSP 34x5G
34
35
36
37
38
39
40
41
42
43
44
22
21
20
19
18
17
16
15
14
13
12
1 2 3 4 5 6 7 8 9 10 11
33 32 31 30 29 28 27 26 25 24 23
FCM
Serial
nterlace
nterolation
Filter with
volume Control
nternal voltaqe
Relerence
External
Mute
Control
BAC
Serial Audio nut
Lelt and Riqht
Mute Controls
2 vrms Line Level
Riqht Channel
0utut
2 vrms Line Level
Lelt Channel 0utut
Reset
1.8 v to 8.8v
BAC
Reqister/hardware
Conliquration
L
e
v
e
l

T
r
a
n
s
l
a
t
o
r
hardware or
2
C/SF
Control Bata
Multibit
Modulator
8.8 v
O v to 12 v
nterolation
Filter with
volume Control
Am
+
Filter
Am
+
Filter
Auto Seed Mode
Betect
Multibit
Modulator
45 DVDR3455
DESCRIO E CONFIGURAO DO PINO
Pin Name # Pin Description
SDIN 1 SeriaI Audio Data Input (nput) - nput for two's complement serial audio data.
SCLK 2 SeriaI CIock (nput) - Serial clock for the serial audio interface.
LRCK 3 Left / Right CIock (nput) - Determines which channel, Left or Right, is currently active on the serial
audio data line.
MCLK 4 Master CIock (nput) - Clock source for the delta-sigma modulator and digital filters.
VD 5 DigitaI Power (nput) - Positive power supply for the digital section.
GND 6
16
Ground (nput) - Ground reference.
RST 10
Reset (nput) - Powers down device and resets all internal resisters to their default settings when
enabled.
VA 11 Low VoItage AnaIog Power (nput) - Positive power supply for the analog section.
VBIAS 12 Positive VoItage Reference (Output) - Positive reference voltage for the internal DAC.
VQ 13 Quiescent VoItage (Output) - Filter connection for internal quiescent voltage.
VA_H 17 High VoItage AnaIog Power (nput) - Positive power supply for the analog section.
VL 20 SeriaI Audio Interface Power (nput) - Positive power for the serial audio interface
BMUTEC
AMUTEC
14
19
Mute ControI (Output) - Control signal for optional mute circuit.
AOUTB
AOUTA
15
18
AnaIog Outputs (Output) - The full scale analog line output level is specified in the Analog Characteris-
tics table.
ControI Port
Definitions
SCL/CCLK 7 SeriaI ControI Port CIock (nput) - Serial clock for the control port interface.
SDA/CDIN 8 SeriaI ControI Data (nput/Output) - nput/Output for
2
C data. nput for SP data.
AD0/CS 9
Address Bit 0 / Chip SeIect (nput) - Chip address bit in
2
C Mode. Control Port enable in SP mode.
Stand-AIone
Definitions
DIF0
DIF1
8
7
DigitaI Interface Format (nput) - Defines the required relationship between the Left Right Clock, Serial
Clock, and Serial Audio Data.
DEM 9 De-emphasis (nput) - Selects the standard 15s/50s digital de-emphasis filter response for 44.1 kHz
sample rates
SDIN VL
SCLK AMUTEC
LRCK AOUTA
MCLK VA_H
VD GND
GND AOUTB
DIF1(SCL/CCLK) BMUTEC
DIF0(SDA/CDIN) VQ
DEM(AD0/CS) VBIAS
RST VA
1
2
3
4
5
6
7
8
9
10 11
12
17
18
19
20
13
14
15
16
46 DVDR3455
IC7203 - 96KHz Amostragem de 24-bit stereo audio ADC
DIAGRAMA EM BLOCO
Figura 8-10
DESCRIO E CONFIGURAO DO PINO
dth
UDA1361TS
MGT451
1
V
NL ADC
3$
DGTAL
NTERFACE
DC-CANCELLATON
FLTER
DECMATON
FLTER
CLOCK
CONTROL
3
16
V
NR ADC
3$
13
DATAO
11
BCK
12
WS
6
SFOR
7
PWON
14
MSSEL
15
10
V
SSD
9
V
DDD
V
SSA
5
V
RP
4
V
RN
2
V
ref
8
SYSCLK
V
DDA
SYMOL PIN SRIPTION
V
NL
1 left channel input
V
ref
2 reference voltage
V
NR
3 right channel input
V
RN
4 negative reference voltage
V
RP
5 positive reference voltage
SFOR 6 data format selection input
PWON 7 power control input
SYSCLK 8 system clock 256, 384, 512 or 768f
s
V
DDD
9 digital supply voltage
V
SSD
10 digital ground
BCK 11 bit clock input/output
WS 12 word select input/output
DATAO 13 data output
MSSEL 14 master/slave select
V
SSA
15 analog ground
V
DDA
16 analog supply voltage
handbook, halfpage
UA1361TS
MGT452
1
2
3
4
5
6
7
8
16
15
14
13
12
11
10
9
V
NL
V
ref
V
NR
V
RN
V
RP
SFOR
PWON
SYSCLK
V
DDD
V
SSD
BCK
WS
DATAO
MSSEL
V
SSA
V
DDA
47 DVDR3455
8.5.2 Painel Digital
IC7301 - IEEE 1394a-2000 um cabo Transceiver/Arbiter
DIAGRAMA EM BLOCO
Figura 8-11
eceivedata
ecoderetimer
ink
nterface

Arbitration
and ControI
State Machine
Logic
Bias VoItage
and
Current
Generator
Transmit Data
Encoder
CabIe Port
CrystaI
OsciIIator,
PLL System,
and CIock
Generator
TPA+
CPS
TPA-
TPB+
TPB-
XI
XO
FILTER0
FILTER1
LPS
ISO
C A

S SCL
LRE
CTL0
CTL1
D0
D1
D
D
D
D
D
D
PC0
PC1
PC
C/L O
R0
R1
TPBIAS
PD
RESET
Sada CNA est apenas disponvel no pino 64 PAP
48 DVDR3455
CONFIGURAO DOS PINO
DIAGRAMA TERMINAL PHP
Figura 8-12
14 15
AGND
AV
DD
R1
R0
AGND
TPBAS
TPA+
TPA-
TPB+
TPB-
AGND
AV
DD
36
35
34
33
32
31
30
29
28
27
26
25
16
1
2
3
4
5
6
7
8
9
10
11
12
SYSCLK
CTL0
CTL1
D0
D1
D2
D3
D4
D5
D6
D7
PD
17 18 19 20
47 46 45 44 43 48 42 40 39 38 41
21 22 23 24
37
13
PHP PACKAGE
(OP VIEW)
B41AB1
P
L
L
G
N
D
P
L
L
V
F

L
T
E
R
1
F

L
T
E
R
0
L
R
E
Q
D
G
N
D
D
G
N
D
D
V
T
E
S
T
M
S
E
S
M
C
/
L
K
O
N
P
C
1
P
C
2

S
O
C
P
S
D
V
R
E
S
E
T
X
O
X

D
G
N
D
L
P
S
P
C
0
D
D
D
V
D
D
D
D
D
D
49 DVDR3455
DESCRIO DO PINO
TERMINAL
TYPE I/O DESCRIPTION
PHP NO.
TYPE I/O DESCRIPTION
26, 32, 36 Supply - Analog circuit ground terminals. These terminals should be tied together to the
low-impedance circuit board ground plane.
25, 35 Supply - Analog circuit power terminals. A combination of high frequency decoupling
capacitors near each terminal is suggested, such as paralleled 0.1 F and 0.001
F. Lower frequency 10 F filtering capacitors are also recommended. These
supply terminals are separated from PLLV
DD
and DV
DD
inside the device to provide
noise isolation. They should be tied at a low-impedance point on the circuit board.
15 CMOS /O Bus manager contender programming input and link-on output. On hardware reset,
this terminal is used to set the default value of the contender status indicated during
self-D. Programming is done by tying the terminal through a 10-k resistor to a high
(contender) or low (not contender). The resistor allows the link-on output to override
the input. However, it is recommended that this terminal should be programmed
low, and that the contender status be set via the C register bit.
f the TSB41AB1 is used with an LLC that has a dedicated terminal for monitoring
LKON and also setting the contender status, then a 1-k series resistor should be
placed on the LKON line between the PHY and LLC to prevent bus contention.
Following hardware reset, this terminal is the link-on output, which is used to notify
the LLC to power up and become active. The link-on output is a square-wave signal
with a period of approximately 163 ns (8 SYSCLK cycles) when active. The link-on
output is otherwise driven low, except during hardware reset when it is
high-impedance.
The link-on output is activated if the LLC is inactive (LPS inactive or the LCtrl bit
cleared) and when:
a) the PHY receives a link-on PHY packet addressed to this node, or
b) the PE (port-event interrupt) register bit is 1, or
c) any of the CTO (configuration-time-out interrupt), CPS
(cable-power-status interrupt), or STO (state-time-out
interrupt) register bits are 1 and the RPE (resuming-port
interrupt enable) register bit is also 1.
Once activated, the link-on output continues active until the LLC becomes active
(both LPS active and the LCtrl bit set). The PHY also deasserts the link-on output
when a bus reset occurs unless the link-on output would otherwise be active
because one of the interrupt bits is set (that is, the link-on output is active due solely
to the reception of a link-on PHY packet).
NOTE: f an interrupt condition exists which would otherwise cause the link-on
output to be activated if the LLC were inactive, the link-on output is activated when
the LLC subsequently becomes inactive.
N/A CMOS O Cable-not-active output. This terminal is asserted high when there is no incoming
bias voltage.
20 CMOS Cable power status input. This terminal is normally connected to cable power
through a 400-k resistor. This circuit drives an internal comparator that is used to
detect the presence of cable power. This terminal should be tied directly to DV
DD
supply if application does not require it to be used.
2
3
CMOS /O Control /Os. These bidirectional signals control communication between the
TSB41AB1 and the LLC. Bus holders are built into these terminals.
NAME
AGND
AV
DD
C/LKON
CNA
CPS
CTL0
CTL1
D0
D1
D2
D3
D4
D5
D6
D7
4
5
6
7
8
9
10
11
CMOS /O Data /Os. These are bidirectional data signals between the TSB41AB1 and the
LLC. Bus holders are built into these terminals.
50 DVDR3455
TERMINAL
TYPE I/O DESCRIPTION
PHP NO.
TYPE I/O DESCRIPTION
14,46,47 SupplB D Digital circuit ground terminals. These terminals should be tied together to the
lo@-impedancecircuitboardgroundplane.
21,44,45 SupplB D Digital circuit po@er terminals. A combination of high-frequencB decoupling
capacitors near each terminal is suggested, such as paralleled 0.1 F and
0.001 F. Lo@er frequencB 10 F filtering capacitors are also recommended.
ThesesupplBterminalsareseparatedfromPLLV
DD
andAV
DD
insidethedeviceto
providenoiseisolation.TheBshouldbetiedatalo@-impedancepointonthecircuit
board.
38
39
CMOS /O PLLfilterterminals.TheseterminalsareconnectedtoaneAternalcapacitortoform
alag-leadfilterrequiredforstableoperationoftheinternalfrequencBmultiplierPLL
runningfromthecrBstaloscillator.A0.1 F 10%capacitoristheonlBeAternal
componentrequiredtocompletethisfilter.
19 CMOS Linkinterfaceisolationcontrolinput.Thisterminalcontrolstheoperationofoutput
differentiationlogicontheCTLandDterminals.fanoptionalAnneAJtBpeisolation
barrierisimplementedbet@eentheTSB41AB1andLLC,theSOterminalshould
betiedlo@toenablethedifferentiationlogic.fnoisolationbarrierisimplemented
(direct connection), or T bus holder isolation is implemented, the SO terminal
shouldbetiedhightodisablethedifferentiationlogic.Foradditionalinformation
refertoTapplicationnoteGalvanicsolationoftheEEE1394-1995SerialBus,
SLLA011.
13 CMOS Linkpo@erstatusinput.Thisterminalmonitorstheactive/po@erstatusofthelink
laBer controller and controls the state of the PHY-LLC interface. This terminal
shouldbeconnectedthrougha10-kresistoreithertotheV
DD
supplBingtheLLC,
ortoapulsedoutput@hichisactive@hentheLLCispo@ered(seeFigure9).A
pulsedsignalshouldbeused@henanisolationbarriereAistsbet@eentheLLCand
PHY.(SeeFigure10.)
TheLPSinputisconsideredinactiveifitissampledlo@bBthePHYformorethan
2.6s(128SYSCLKcBcles),andisconsideredactiveother@ise(thatis,asserted
steadBhighoranoscillatingsignal@ithalo@timelessthan2.6s).TheLPSinput
mustbehighforatleast21nstoguaranteethatahighisobservedbBthePHY.
WhentheTSB41AB1detectsthatLPSisinactive,itplacesthePHY-LLCinterface
intoalo@-po@erresetstate.ntheresetstate,theCTLandDoutputsareheldin
thelogicCerostateandtheLREQinputisignored;ho@ever,theSYSCLKoutput
remainsactive.ftheLPSinputremainslo@formorethan26s(1280SYSCLK
cBcles),thePHY-LLCinterfaceisputintoalo@-po@erdisabledstatein@hichthe
SYSCLKoutput is also held inactive. The PHY-LLC interface is placed into the
disabledstateuponhard@arereset.
The LLC is considered active onlB if both the LPS input is active and the LCtrl
registerbitissetto1,andisconsideredinactiveifeithertheLPSinputisinactive
ortheLCtrlregisterbitisclearedto0.
48 CMOS LLC request input. The LLC uses this input to initiate a service request to the
TSB41AB1.Busholderisbuiltintothisterminal.
16
17
18
CMOS Po@erclassprogramminginputs.Onhard@arereset,theseinputssetthedefault
valueofthepo@erclassindicatedduringself-D.ProgrammingisdonebBtBing
theseterminalshighorlo@.RefertoTable9forencoding.
NAME
DGND
DV
DD
FLTER0
FLTER1
SO
LPS
LREQ
PC0
PC1
PC2
PD 12 CMOS Po@er-do@ninput.AhighonthisterminalturnsoffallinternalcircuitrBeAceptthe
cable-active monitor circuits, @hich control the CNA output (64-terminal PAP
packageonlB).AssertingthePDinputhighalsoactivatesaninternalpulldo@non
theRESETterminaltoforcearesetoftheinternalcontrollogic.(PDisprovidedfor
legacBcompatibilitBandisnotrecommendedforpo@ermanagementinplaceof
EEE1394a-2000suspend/resumeLPSandC/LKONfeatures.)
51 DVDR3455
TERMINAL
TYPE I/O DESCRIPTION
NAME PHP NO.
TYPE I/O DESCRIPTION
41 Supply - PLL circuit ground terminals. These terminals should be tied together to the
low-impedancecircuitboardgroundplane.
40 Supply - PLL circuit power terminals. A combination of high-frequency decoupling
capacitorsneareachterminalissuggested,suchasparalleled0.1Fand0.001
F.Lowerfrequency10Ffilteringcapacitorsarealsorecommended.Thissupply
terminalisseparatedfromDV
DD
andAV
DD
insidethedevicetoprovidenoise
isolation.tshouldbetiedatalow-impedancepointonthecircuitboard.
33
34
Bias - Current setting resistor terminals. These terminals are connected through an
external resistor to set the internal operating currents and cable driver output
currents. A resistance of 6.34 k1.0% is required to meet the EEE Std
1394-1995outputvoltagelimits.
37 CMOS Logicresetinput.Assertingthisterminallowresetstheinternallogic.Aninternal
pullupresistortoV
DD
isprovidedsoonlyanexternaldelaycapacitorisrequiredfor
proper power-up operation (see power-up reset in the Application nformation
section). The RESET terminal also incorporates an internal pulldown which is
activatedwhenthePDinputisassertedhigh.Thisinputisotherwiseastandard
logicinput,andmayalsobedrivenbyanopen-draintypedriver.
23 CMOS Testcontrolinput.ThisinputisusedinmanufacturingtestoftheTSB41AB1.For
normalusethisterminalmaybetiedtoGNDthrougha1-kpulldownresistoror
itmaybetiedtoGNDdirectly.
24 CMOS Testcontrolinput.ThisinputisusedinmanufacturingtestoftheTSB41AB1.For
normalusethisterminalshouldbetiedtoGND.
1 CMOS O Systemclockoutput.Providesa49.152-MHzclocksignal,synchronizedwithdata
transfers,totheLLC.
22 CMOS Testcontrolinput.ThisinputisusedinmanufacturingtestoftheTSB41AB1.For
normalusethisterminalshouldbetiedtoV
DD
.
30 Cable /O Twisted-pair cable A differential signal terminals. Board traces from the pair of
positive and negative differential signal terminals should be kept matched and as
29 Cable /O
positiveandnegativedifferentialsignalterminalsshouldbekeptmatchedandas
shortaspossibletotheexternalloadresistorsandtothecableconnector.
28 Cable /O Twisted-pair cable B differential signal terminals. Board traces from the pair of
positive and negative differential signal terminals should be kept matched and as
27 Cable /O
positiveandnegativedifferentialsignalterminalsshouldbekeptmatchedandas
shortaspossibletotheexternalloadresistorsandtothecableconnector.
31 Cable /O Twisted-pairbiasoutput.Thisprovidesthe1.86Vnominalbiasvoltageneededfor
properoperationofthetwisted-paircabledriversandreceivers,andforsignaling
totheremotenodesthatthereisanactivecableconnection.
PLLGND
PLLV
DD
R0
R1
RESET
SE
SM
SYSCLK
TESTM
TPA+
TPA-
TPB+
TPB-
TPBAS
X
XO
42
43
Crystal - Crystal oscillator inputs. These terminals connect to a 24.576-MHz parallel
resonantfundamentalmodecrystal.Theoptimumvaluesfortheexternalshunt
capacitors are dependent on the specifications of the crystal used (see crystal
selectionintheApplicationnformationsection).Whenanexternalclocksourceis
used,XshouldbetheinputandXOshouldbeleftopen,andtheclockmustbe
suppliedbeforethedeviceispoweredon.
52 DVDR3455
IC7401 - 4x10bit Decodicador de Vdeo Digital com microvision
DIAGRAMA EM BLOCO
Figura 8-13
CONFIGURAO DO PINO
Figura 8-14
Composite and S-Video Processor
Y/C
Separation
5-Iine
Adaptive
Comb
Luma
Processing
Chroma
Processing
ADC1
ADC2
ADC3
ADC4
M
U
X
Component
Processor
CVBS/Y
C
Y/G
Pb/B
Pr/R
Gain/Offset
CoIor
Space
Conversion
C
Y
Output
Formatter
Y[9:0]
YCbCr
VBI
Data
SIicer
Copy
Protection
Detector
C[9:0]
Host
Interface
Timing Processor
with Sync Detector
VI_1_A
VI_1_B
VI_1_C
VI_2_A
VI_2_B
VI_2_C
VI_3_A
VI_3_B
VI_3_C
VI_4_A
CVBS/
Y/G
CVBS/
Pb/B/C
CVBS/
Pr/R/C
CVBS/Y
CVBS/Y/G
AnaIog Front End
SampIing
CIock
GPIO
FSS
H
S
/
C
S
V
S
/
V
B
L
K
F
I
D
A
V
I
D
X
T
A
L
1
X
T
A
L
2
D
A
T
A
C
L
K
R
E
S
E
T
B
G
L
C
O
D
R
D
G
D
B
F
S
O
P
W
D
N
S
C
L
S
D
A
YCbCr
22 23
C_6/GPO/RED
C_7/GPO/GREEN
C_8/GPO/BLUE
C_9/GPO/FSO
DGND
DVDD
Y_0
Y_1
Y_2
Y_3
Y_4
OGND
OVDD
Y_5
Y_6
Y_7
Y_8
Y_9
DGND
DVDD
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
24
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
V_1_B
V_1_C
CH1_A33GND
CH1_A33VDD
CH2_A33VDD
CH2_A33GND
V_2_A
V_2_B
V_2_C
CH2_A18GND
CH2_A18VDD
A18VDD_REF
A18GND_REF
CH3_A18VDD
CH3_A18GND
V_3_A
V_3_B
V_3_C
CH3_A33GND
CH3_A33VDD
25 26 27 28
PFP PACKAGE
(TOP VIEW)
79 78 77 76 75 80 74 72 71 70 73
29 30 31 32 33
69 68
21
67 66 65 64
34 35 36 37 38 39 40
63 62 61
V

_
1
_
A
C
H
1
_
A
1
8
G
N
D
C
H
1
_
A
1
8
V
D
D
P
L
L
_
A
1
8
G
N
D
P
L
L
_
A
1
8
V
D
D
!

A
L
2
!

A
L
1
V
S
/
V
B
L
K
/
G
P

O
H
S
/
C
S
/
G
P

O
F

D
/
G
P

O
C
_
0
/
G
P

O
C
_
1
/
G
P

O
D
G
N
D
D
V
D
D
C
_
2
/
G
P

O
C
_
3
/
G
P

O
C
_
4
/
G
P

O
C
_
5
/
G
P

O
G
N
D

O
V
D
D
C
H
4
_
A
3
3
V
D
D
C
H
4
_
A
3
3
G
N
D
V

_
4
_
A
C
H
4
_
A
1
8
G
N
D
C
H
4
_
A
1
8
V
D
D
A
G
N
D
D
G
N
D
S
C
L
S
D
A

D
V
D
D
D
G
N
D
P

D
N

E
S
E

B
F
S
S
/
G
P

O
A
V

D
/
G
P

O
G
L
C
O
/

2
C
A

O
V
D
D

O
G
N
D
D
A

A
C
L
K
53 DVDR3455
DESCRIO DO PINO
ERMINAL
I/O DESCRIPTION
NAME NUMBER
I/O DESCRIPTION
AnaIogVideo
V_1_A
V_1_B
V_1_C
V_2_A
V_2_B
V_2_C
V_3_A
V_3_B
V_3_C
V_4_A
80
1
2
7
8
9
16
17
18
23

V_1_x: Analog video input for CVBS/Pb/B/C


V_2_x: Analog video input for CVBS/Y/G
V_3_x: Analog video input for CVBS/Pr/R/C
V_4_A: Analog video input for CVBS/Y
Up to 10 composite, 4 S-video, and 2 composite or 3 component video inputs (or a combination thereof)
can be supported.
The inputs must be ac-coupled. The recommended coupling capacitor is 0.1 F.
The possible input configurations are listed in the input select register at
2
C subaddress 00h (see
Section 2.11.1).
CIockSignaIs
DATACLK 40 O Line-locked data output clock.
XTAL1 74
External clock reference input. t may be connected to an external oscillator with a 1.8-V compatible clock
signal or a 14.31818-MHz crystal oscillator.
XTAL2 75 O External clock reference output. Not connected if XTAL1 is driven by an external single-ended oscillator.
DigitaIVideo
C[9:0]/
GPO[9:0]
57, 58,
59, 60,
63, 64,
65, 66,
69, 70
O
Digital video output of CbCr, C[9] is MSB and C[0] is LSB. Unused outputs can be left unconnected. Also,
these terminals can be programmable general-purpose /O.
For the 8-bit mode, the two LSBs are ignored.
D_BLUE 58 Digital BLUE input from overlay device
D_GREEN 59 Digital GREEN input from overlay device
D_RED 60 Digital RED input from overlay device
FSO 57 Fast-switch overlay between digital RGB and any video
Y[9:0]
43, 44,
45, 46,
47, 50,
51, 52,
53, 54
O
Digital video output of Y/YCbCr, Y[9] is MSB and Y[0] is LSB.
For the 8-bit mode, the two LSBs are ignored. Unused outputs can be left unconnected.
MisceIIaneousSignaIs
SS/GPO 35 /O
Fast-switch (blanking) input. Switching signal between the synchronous component video (YPbPr/RGB)
and the composite video input.
Programmable general-purpose /O
GLCO/2CA 37 /O
Genlock control output (GLCO). Two Genlock data formats are available: T format and real time control
(RTC) format.
During reset, this terminal is an input used to program the
2
C address LSB.
NTREQ 30 O nterrupt request
PWDN 33
Power down input:
1 = Power down
0 = Normal mode
RESETB 34 Reset input, active low
54 DVDR3455
RMINAL
I/O DESCRIPTION
NAME NUMBER
I/O DESCRIPTION
HostInterface

clock input
DA 29 /O
2
C data bus
PowerSuppIies
AGND 26 Analog ground. Connect to analog ground.
A18GND_EF 13 Analog 1.8-V return
A18VDD_EF 12 Analog power for reference 1.8 V
CH1_A18GND
CH2_A18GND
CH3_A18GND
CH4_A18GND
79
10
15
24
Analog 1.8-V return
CH1_A18VDD
CH2_A18VDD
CH3_A18VDD
CH4_A18VDD
78
11
14
25
Analog power. Connect to 1.8 V.
CH1_A33GND
CH2_A33GND
CH3_A33GND
CH4_A33GND
3
6
19
22
Analog 3.3-V return
CH1_A33VDD
CH2_A33VDD
CH3_A33VDD
CH4_A33VDD
4
5
20
21
Analog power. Connect to 3.3 V.
DGND
27 32 42
56 68
Digital return
DVDD
31 41 55
67
Digital power. Connect to 1.8 V.
OGND 39 49 62 Digital power return
OVDD 38 48 61 Digital power. Connect to 3.3 V or less for reduced noise.
LL_A18GND 77 Analog power return
LL_A18VDD 76 Analog power. Connect to 1.8 V.
Sync SignaIs
HS/CS/GPO 72 /O
Horizontal sync output or digital composite sync output
Programmable general-purpose /O
VS/VBLK/GPO 73 /O
Vertical sync output (for modes with dedicated VSYNC) or VBLK output
Programmable general-purpose /O
FD/GPO 71 /O
Odd/even field indicator output. This terminal needs a pulldown resistor.
Programmable general-purpose /O
AVD/GPO 36 /O
Active video indicator output
Programmable general-purpose /O
55 DVDR3455
IC7595 - Sries Detector de Tenso com Atraso Programvel
DIAGRAMA EM BLOCO
Figura 8-15
CONFIGURAOE DESCRIO DO PINO
PINOS CONECTORES E DIAGRAMA

VISTA SUPERIOR


Figura 8-16
NCP303LSNxxT1
Open Drain Output Configuration
V
ref
2 nput
3 Gnd 5 C
D
R
D
1 Reset Output
1
3
N.C.
Reset
Output
2
nput
Ground
4
C
D
5
x
x
x
Y
W
xxx = 302 or 303
Y = Year
W = Work Week
56 DVDR3455
VISTA EXPLODIDA DO APARELHO
Figura 9-1
P
0
0
2
P
0
0
1

Você também pode gostar