Escolar Documentos
Profissional Documentos
Cultura Documentos
Sistemas
Embarcados com
FPGA
1
Sistemas Embarcados com FPGA
Vantagens:
1) Conectividade Wi-Fi: Conhecido por sua capacidade de se
conectar a redes Wi-Fi, permitindo a comunicação sem fio com
a Internet;
2) Baixo custo: É uma opção muito acessível para projetos de IoT,
tornando-o popular entre entusiastas e desenvolvedores
independentes;
3) Ampla comunidade: Assim como o Arduino e o Raspberry Pi,
o ESP8266 tem uma grande base de usuários que compartilha
recursos e suporte;
4) Pequeno tamanho: O microcontrolador é compacto, tornando-
o adequado para projetos em espaços reduzidos, também
podendo ser um SoC.
Custo:
O custo de um módulo ESP8266 é relativamente baixo, variando de
aproximadamente R$ 15,00 até R$ 70,00, dependendo do modelo
e da funcionalidade. No entanto, você também precisará considerar
custos adicionais, como sensores, cabos e fontes de energia,
dependendo do seu projeto específico. O custo do ESP8266 do
meu projeto foi de R$ 40,00, onde utilizei para sensor de
temperatura (DHT11) e avisos com Led RGB.
2
Sistemas Embarcados com FPGA
a. O que é um SoC?
3
Sistemas Embarcados com FPGA
4
Sistemas Embarcados com FPGA
5
Sistemas Embarcados com FPGA
library ieee;
use ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Pablo_AT is
port (clk : in std_logic;
entrada : in std_logic_vector(15 downto 0);
sevenSeg : out std_logic_vector(6 downto 0)
);
end Pablo_AT;
6
Sistemas Embarcados com FPGA
Fica claro no print que eu seria não necessário estimular todas as entradas,
apenas o bit menos significativo, mas estimulei-os mesmo assim. No entanto, se
a “entrada[0]” fosse alto, logo, o display deveria exibir “I” de impar e caso fosse
baixo, seria o inverso o “P”, assim como se pede no enunciado.
7
Sistemas Embarcados com FPGA
8
Sistemas Embarcados com FPGA
9
Sistemas Embarcados com FPGA
10
Sistemas Embarcados com FPGA
Fonte:
https://edisciplinas.usp.br/pluginfile.php/5410273/mod_resource/content/3/
resto_v2.pdf
https://vhdlwhiz.com/while-loop/
https://www.allaboutcircuits.com/technical-articles/basic-binary-division-
the-algorithm-and-the-vhdl-code/
https://www.patola.com.br/index.php?route=product/product&product_id=8
5&search=PB-&page=3
https://www.espressif.com/en/products/socs/esp8266
https://www.google.com/search?q=ESP8266++&client=firefox-b-
d&sca_esv=565986362&sxsrf=AM9HkKkWrn8hMX8gXQ1rLkzdsFCJoquK
4Q%3A1694909422958&ei=7kMGZbSTOt-
S5OUPqfSv4AI&ved=0ahUKEwi0wtPQrbCBAxVfCbkGHSn6CywQ4dUDC
A8&uact=5&oq=ESP8266++&gs_lp=Egxnd3Mtd2l6LXNlcnAiCUVTUDgyNj
YgIDIHECMYigUYJzIEECMYJzIFEAAYgAQyBRAAGIAEMgUQABiABDIF
EAAYgAQyBRAAGIAEMgUQABiABDIFEAAYgAQyBRAAGIAESKsSUKw
CWKYMcAF4AZABAJgBpgGgAZADqgEDMC4zuAEDyAEA-AEC-
AEBwgIKEAAYRxjWBBiwA8ICBhAAGBYYHuIDBBgAIEGIBgGQBgg&scli
ent=gws-wiz-serp
11