Você está na página 1de 20

SD RAM AND EEPROM

SD RAM y EEPROM
SD RAM e EEPROM

M-1114A

*Only illustrative image./Imagen meramente ilustrativa./Imagem meramente ilustrativa.

EXPERIMENTS MANUAL
Manual de Experimentos
Manual de Experimentos
1

Contedo
1. Experincia 01: Memrias RAM I
2. Experincia 02: Memrias RAM II
3. Experincia 03: Memrias EEPROM Serial IC PROG

3
12
16

M-1114A - MEMRIA SRAM E EEPROM


1. Experincia 01: Memrias RAM I
1.1 Objetivos
1. Verificar o funcionamento de uma memria RAM e EEPROM.
2. Escrever dados na memria.
3. Ler dados da memria.
1.2. Material Usado
1 Placa 1114
1 Maleta
Cabos de conexo diversos
1.3. Introduo Terica
Memrias so circuitos usados para armazenar uma informao digital (0 ou 1). As memrias so classificadas de acordo com a capacidade de manter ou no a informao quando
a alimentao retirada em RAM (Random Access Memory Memria de Acesso Aleatrio) ou ROM (Read Only Memory Memria de Apenas Leitura).
A memria SRAM (Static Random Acess Memory Memria Esttica de Acesso Randmico) possui como elemento bsico interno flip-flops para armazenamento de um bit, tendo
como caracterstica de perder a informao se a alimentao for desligada ou for feita uma
gravao no mesmo endereo.
Um exemplo de memria a 6462, que uma memria com 8Kx8, isto , tem 8K (8.192)
posies podendo armazenar uma palavra de 8 bits (a palavra armazenada do tipo
D7D6D5D4D3D2D1D0) em cada posio. Segue na figura 1 uma parte do manual da mesma.

Figura 1: Memria SRAM 6264

A: 8K x 8 Static RAM: significa que esta memria uma SRAM, com capacidade de 8192
campos para armazenar dados, sendo cada um dos campos constitudos de 8 bits, ou seja,
podemos utilizar at 8192 endereos com at 8 bits cada;
B: tempo que a memria demora para acessar diretamente um dos endereos estipulados;
C: esta memria compatvel com circuitos que trabalham com tecnologia TTL, ou seja,
sua alimentao deve ser de +5V.
A figura 2 mostra um diagrama interno da memria 6264.

Figura 2: Diagrama interno e pinagem da memria 6264


Em relao pinagem temos:
Vcc e GND: positivo e negativo da alimentao, respectivamente;
A0 a A12: Entradas de endereamento (Adress), so os bits que selecionam qual endereo da memria que queremos acessar. Repare que so 13 bits que realizam essa tarefa:
213 = 8192;
I/O: Entrada e sada de dados armazenados na memria, nos mesmos terminais que os
dados so inseridos, tambm so coletados, dependendo apenas dos pinos de controle;
CE1: Chip Enable, a memria s estar ativa quando este terminal (pino 20) estiver em
nvel lgico 0 e o terminal CE2 (pino 26) estiver em nvel lgico 1. Qualquer alterao
destas, o circuito integrado estar desativado, seu consumo ser menor, porm os dados
ainda permanecem armazenados. usado quando existe um banco(conjunto de chips) de
memria e se deseja selecionar apenas um dos CIs.
OE: Habilitar sada (Output Enable), as sadas I/O s estaro habilitadas se esse controle estiver em nvel lgico 0. Caso o contrrio todas as sadas estaro em alta impedncia,
uma forma de permitir que vrias sadas, CIs diferentes possam compartilhar o mesmo
barramento.

WE: Habilitar escrita (Write Enable), com esse terminal em nvel lgico 0 a memria receber dados para serem escritos nela, caso contrrio a mesma estar no modo de leitura de
dados. Mais adiante veremos a sequncia correta de memorizao, onde este terminal
um dos principais para a reteno dos dados;
NC: simplesmente no conectado internamente, este um terminal morto para qualquer situao eletrnica, est apenas para completar a simetria do chip.
Obs: quando uma entrada apresenta uma barra acima significa que ela ativa em zero,
ento no caso das entradas CE1, WE e OE aparece a barra para indicar essa condio.
A figura 3 mostra uma parte de um manual (Data sheet) com as especificaes limites.

Figura 3: Limites mximos


A=> tenso de alimentao: em hiptese alguma ultrapassar 7V. Lembre-se que a tecnologia TTL trabalha com tenso entre 4,75V e 5,25V;
B=> mxima corrente que cada sada suporta: 20mA;
C=> corrente mxima consumida quando a memria est em atividade: 100mA.
A seguir alguns exemplos de como escrever e ler dados na memria. A figura 4 mostra
um bloco representativo da condio de escrita na memria no endereo 0000000000100
,
,
(quinta posio) o dado 0000101 (nmero 5 em binrio). Observar os valores de
e
para a condio de escrita.

Figura 4: Escrevendo um dado na memria ( a ) antes ( b ) aps


6

Reparar que a informao que estava na posio 5 (endereo 0000000000100) se perder


ficando o dado atualizado, 00000101. Para ler o dado, de um determinado endereo, por
exemplo vamos ler o dado armazenado na posio 5 (endereo 0000000000100) voc
deve colocar pino WE em 1 (leitura). Observe que ler um dado no altera o contedo da
posio. Observar os valores de
,
,
e
para a condio de leitura.

Figura 5: lendo um dado da memria


1.3.1. MEMRIA EEPROM (ELETRICALY ERASABLE PROGRAMMABLE READ ONLY
MEMORY)
Outro tipo de memria, em relao manuteno dos dados gravados, a EEPROM (Electrical Erasable Programmable Read Only Memory Memria de Apenas Leitura Programvel Eletricamente) que caracterizada por manter os dados mesmo que a alimentao seja
retirada.
A memria utilizada nas experincias ser da srie 24Cxx, uma memria SERIAL.
SERIAL significa que no teremos mais uma conexo I/O para cada bit e nem os terminais
de adress; os dados agora sero inseridos em um nico terminal denominado SDI (Serial
Data Input)
O endereamento adress no ser mais realizado em busca direta porque no existem
os terminais A0-Ax, o endereo agora ser sequencial. Por exemplo, vamos supor que
queremos coletar os dados que esto no endereo 80 (HEX): vamos ter que inserir o clock
(terminal SCL) at que seja alcanada a posio 80 para depois coletarmos o dado. Vale
ressaltar que a alimentao em nvel TTL (5V). A figura 6 mostra a pinagem da memria
24C16

Figura 6: pinagem da memria 24C16


Obs: Antes de dar incio ao procedimento experimental, veja a tabela a seguir, que indica a
relao correspondente entre as indicaes da placa e do manual:

corresponde a
corresponde a
corresponde a
corresponde a
corresponde a

1.4. Procedimento Experimental


1. No ligue a maleta antes de efetuar todas as ligaes.

2. A figura 6 mostra como ligar a memria 6264 para escrever um dado em uma determinada posio de memria. Ligue primeiramente as entradas de controle e depois as entradas
de dados e endereo. Observe que das 8 linhas de dados sero usadas as 4 primeiras
(I/O0, I/O1, I/O2, I/O3, as outras so colocadas em zero). As linhas de endereamento so
usadas apenas 4 (A0, A1, A2, A3) as outras esto aterradas. As chaves so lgicas, na
parte superior da maleta. No efetue as conexes com a maleta ligada.

Figura 6: Escrevendo um dado na memria 6264


3. Para cada uma dos endereos da tabela I entre com o dado correspondente.

=0 (escrita)
A3
0
0
0
0

A2
0
0
0
0

A1
0
0
1
1

A0
0
1
0
1

I3
0
0
0
1

I2
0
0
1
0

I1
0
1
0
0

Tabela I: Gravao de dados na memria

I0
1
0
0
0

4. Ligue a maleta. Voc ir programar a memria SRAM a partir deste ponto. Comece com
o endereo 0000 na primeira linha da tabela I e com o dado 0001. No esquea de deixar a chave de Escrita/Leitura (pino 27) em 1. Volte a chave para 0, mude o endereo e
o dado. Repita o procedimento para as outras posies da tabela I
5. No desligue a maleta pois perder os dados gravados.
6. Faa as conexes indicadas na figura 7, preparando o circuito para a leitura dos dados
na memria. Mantenha todos as entradas de controle com o mesmo nvel lgico exceo
da entrada Escrita/Leitura (pino 27) que agora deve ser colocada em 1. Observe que a
visualizao da informao ser feita utilizando LEDs.

Figura 7: Lendo um dado na memria 6264


7. Para cada um dos endereos da tabela II leia o dado armazenado previamente no item 4.
Para ver o dado armazenado use os LEDs (LEVEL DECTETOR) visualizando a condio
do LED correspondente e anotando na tabela II. Escreva Aceso ou Apagado para representar as condies de nvel 1 ou 0 respectivamente. Compare com o dado previamente
gravado.

10

=1 (leitura)
A3
0
0
0
0

A2
0
0
0
0

A1
0
0
1
1

A0
0
1
0
1

LED12

LED11

LED10

LED9

Tabela II: Leitura de dados na memria


8. A partir deste ponto ser realizado o processo de leitura dos dados gravados. Na memria SRAM. Mude a chave de seleo de Escrita/leitura para 1, isto , agora os dados
escritos sero LIDOS.
9. V alterando as chaves de endereo (A3A2A1A0) na mesma ordem em que foi feita a
programao, e v verificando pelos LEDs se os dados exibidos correspondem a mesma
ordem dos dados gravados.
10. A ordem apresentada foi a mesma?
R:
11. Qual o nome dado ao processo de busca dos dados gravados?
R:

11

2. Experincia 02: Memrias RAM II


2.1 Objetivos
1. Escrever e ler dados na memria usando um contador e display de sete segmentos.
2.2. Material Usado
1 Placa 1114
1 Maleta
Cabos de conexo diversos
2.3. Introduo Terica
Os procedimentos desta experincia sero muito parecidos com as da experincia anterior,
porm agora nosso endereamento vir de um contador com clock manual ou automtico,
o 74190.
O 74190 um contador um contador sncrono em BCD que permite contagem crescente/
decrescente (UP/Down) e permite que o inicio da contagem possa ser imposto atravs das
entradas DCBA quando
. A figura 8 mostra a pinagem e tabela funcional.

Figura 8: ( a ) Encapsulamento DIP ( b ) Tabela funcional


Se as entradas DCBA forem ligadas em nvel baixo a contagem ser efetuada de 0 a 9.
2.4. Procedimento Experimental
1. Inicialmente permanea com a maleta desligada.
2. Posicione as entradas de controle conforme a tabela III a seguir.
3. Inicialmente ser feita a escrita da mesma forma que foi feita na experincia 1. Entre com
os dados de acordo com a tabela III.

12

=0 (escrita)
A3
0
0
0
0
0
0
0
0
1
1

A2
0
0
0
0
1
1
1
1
0
0

A1
0
0
1
1
0
0
1
1
0
0

=0

A0
0
1
0
1
0
1
0
1
0
1

I3
0
0
0
0
0
0
0
0
1
1

=1
I2
0
0
0
0
1
1
1
1
0
0

Tabela III: Gravao de dados na memria

Figura 9: Escrevendo na memria

13

I1
0
0
1
1
0
0
1
1
0
0

I0
0
1
0
1
0
1
0
1
0
1

4. Aps ter escrito, substitua a entrada manual de endereamento pelas sadas QDQCQBQA
do contador 74190, conforme figura 10. Ligue as sadas de endereamento e dados nos
display, desta forma o endereo e o dado de 4 bits poder ser lido e decodificado.
OBS: caso o numero de cabos seja excessivo, opte por ver somente o dado, portanto no
ligue no display as entradas de endereamento.

Figura 10: Mudando endereo com contador mostrando dado da memria em display
5. Altere os dados da memria de acordo com a tabela IV e para isso coloque a entrada
= 0 (aterrada portanto) e depois leia o dado como j exposto.

14

=0 (escrita)
A3
0
0
0
0
0
0
0
0
1
1

A2
0
0
0
0
1
1
1
1
0
0

A1
0
0
1
1
0
0
1
1
0
0

=0

A0
0
1
0
1
0
1
0
1
0
1

I3
0
0
0
0
0
0
0
0
1
1

=1
I2
0
0
0
0
1
1
1
1
0
0

Tabela IV: Gravao de dados na memria


6. Escreva as suas concluses baseado nas suas observaes.

15

I1
0
0
1
1
0
0
1
1
0
0

I0
0
1
0
1
0
1
0
1
0
1

3. Experincia 03: Memrias EEPROM Serial IC PROG


3.1. Objetivos
1. Aprender a escrever e ler dados em uma memria EEPROM usando o programa IC
PROG
3.2. Material Usado
1 Placa 1114
1 Maleta
1 PC com sada serial e com cabo DB9
Cabos de conexo diverso
3.3. Introduo Terica
Nesta experincia voc aprender como programar uma memria EEPROM usando o programa IC PROG.
3.4. Procedimento Experimental
1. Uma programao de memria EEPROM com o IC PROG muito simples e objetivo.
Primeiro conecte o cabo na porta serial do computador (DB9) e logo aps faa a conexo
com a placa M1114. Alimente o VCC da memria com 5V e com GND no VSS. O restante dos terminais j esto interligados. Pode-se ligar a maleta.
2. Abra o programa IC PROG, aparecer a tela da figura 11.

16

3. Na caixa onde aparece 24C02, mantenha selecionado esse nmero.


4. Os endereos esto apresentados em azul (0000,0008,0010,etc). Repare que cada linha
possui oito endereos. Clique em cada um dos endereos e programe com o dado desejado, lembrando que deve-se programar em HEXADECIMAL. Para efeito de teste insira os
dados apresentados a seguir at o endereo 002F:

Nota: se voc clicar 2 vezes em cada endereo abrir uma janela, portanto pode-se tambm programar usando cdigo decimal ou ainda o valor equivalente ao ASCII:

17

5. Selecione COMANDO >> PROGRAMAR TUDO, ou simplesmente aperte F5 para iniciar


o processo de gravao. O programa IC PROG realizar toda a sequncia de programao
serial corretamente:

6. Dever ocorrer a seguinte ordem:

18

7. Voc acabou de realizar a programao da EEPROM 24C16. Para podermos realmente


verificar o efeito da memria EEPROM, desligue a maleta, feche o programa IC PROG e
desconecte o cabo serial da placa M1114. Foi retirado qualquer tipo de comunicao e alimentao entre a memria e qualquer outra interface.
Para fazer a leitura dos dados na memria, siga os seguintes passos:
8. Reconecte o cabo serial na placa e ligue a maleta. Abra novamente o programa.
9. Novamente selecione a memria em que ser realizada a leitura (em nosso caso, 24C02).
10. Clique em COMANDO >> LER TUDO, ou simplesmente aperte F8 para realizar o processo de leitura dos dados da memria.

19

11. Verifique agora os dados apresentados na tela.


12. Os dados apresentados so os mesmos que voc colocou em cada endereo?
R:
13. Realize todos os procedimentos anteriores com outros dados a sua escolha, at o endereo que desejar, assim ter oportunidade de verificar com mais calma o funcionamento
da memria.
14. Quais suas concluses sobre memria EEPROM serial?
R:

15. Desligue a maleta, feche o programa e retire as conexes.

Manual sujeito a alteraes sem aviso prvio.


Reviso: 01
Data da Emisso: 10.06.2010
20

Você também pode gostar