Escolar Documentos
Profissional Documentos
Cultura Documentos
Traffic Lights
--- Project:
Traffic Lights - Moore
------------------------------------------------------------------- File:
Traffic Lights - Moore.vhd
--- Author:
Vitor Meneses de Vasconcelos
------------------------------------------------------------------Traffic Lights using The Moore Finite State Machine
------------------------------------------------------------------library ieee;
use ieee.std_logic_1164.all;
entity semaforo is
port
(
--- clk: in std_logic;
reset, x: in std_logic;
y:
out std_logic_vector(2 downto 0) -- Seta os LED
's: 100 Vermelho
--
010 Amarelo
--
001 Verde
z : estados;
tic_signal, set_signal: std_logic;
clk_signal: std_logic;
y_aux: std_logic_vector(2 downto 0); -- Saida Auxiliar de Y que seta os L
component contador
port
(
clk: in std_logic;
set: in std_logic;
tic: out std_logic
);
end component;
begin
counter: contador port map
(
clk => clk_signal,
set => set_signal,
tic => tic_signal
);
--<= y_aux = "010";
process(clk_signal, reset, tic_signal)
begin
if(reset = '1') then