Você está na página 1de 1

Curso de Especializao: Modalidade Extenso Universitria

Automao e Controle de Processos


Industriais e Agroindustriais

Projeto Cronmetro Digital


Objetivo:
Projetar um sistema digital, utilizando VHDL, para a implementao de um
cronmetro digital.
Descrio:
Projetar, em Quartus II, um cronmetro digital com as seguintes caractersticas:
Base de tempo de 10 MHz.
Resoluo de 1/100 segundo.
Quatro dgitos.
Comando para reset e start/stop.
Informaes tcnicas:
Ser utilizada a Placa de Desenvolvimento UTP 128-84.
Inicialmente ser necessrio desenvolver um circuito para o acionamento dos
displays (multiplexados);
Uma das chaves dever zerar o cronmetro;
Uma das chaves dever comandar o incio e a parada do cronmetro
(start/stop).
Opcional:
Utilizar os LEDs (0 a 7) como um bargraph para atrativo visual.
Os LEDs (8 e 9) localizados entre os displays devero piscar conjuntamente a
uma frequncia de 2Hz.

Você também pode gostar