Você está na página 1de 68

[Analógico •Digital •Microcontroladores & Sistemas •Áudio •Medição & Teste]

R$ 15,90 - Ano 7 - Mensal - EDIÇÃO BRASILEIRA - N.º 97


Novembro
Abril 20102009

www.elektorbrasil.com.br
www.elektorbrasil.com.br

Existe
vida além
do USB!
Microcontroladores
para iniciantes

Mini pré-amplificador
Testador de nível
de audição

Olho mágico USB


00097
ISSN 1676-790X

9 771676 790007
No
vo
NI
EL
VI
S
II+

Uma abordagem integrada


para projetos de circuitos
Crie um protótipo virtual em software
antes de levá-lo ao laboratório

Compare dados simulados com


medições de dados reais

Obtenha feedback
instantâneo através de
instrumentos virtuais
integrados

Use funcionalidades específicas


para educadores para ampliar a
compreensão dos estudantes

Escolha a opção com Utilize 12 instrumentos Simplifique a montagem e


osciloscópio de 100 MS/s integrados em uma manutenção de seu laboratório
única plataforma através da conectividade USB
Plug-and-Play

Plataforma Educacional para A plataforma educacional para ensino de eletrônica da National Instruments oferece um
Ensino de Eletrônica
conjunto de ferramentas para auxiliar os estudantes a conectarem a teoria de circuitos com
NI Multisim aplicações reais. Com o NI Multisim, estudantes podem explorar conceitos teóricos e
Software interativo para projeto e
simulação de circuitos eletrônicos entender o comportamento de circuitos utilizando simulação SPICE e recursos interativos.
NI ELVIS Eles podem construir protótipos físicos no protoboard da plataforma NI ELVIS que possui
Estação educacional para projeto e
instrumentação integrada e instrumentos virtuais do NI LabVIEW. Por fim, eles podem
protótipo com 12 instrumentos integrados
comparar dados simulados com medições reais com um simples clique utilizando
NI LabVIEW
Software de desenvolvimento gráfico instrumentos do NI ELVIS dentro do ambiente Multisim.

>> Faça o download dos recursos para ensino em ni.com/academic/eep (11) 3149 3149

©2009 National Instruments. Todos os direitos reservados. LabVIEW, Multisim, National Instruments, NI, e ni.com são marcas registradas
da National Instruments. Os outros nomes de produtos e das empresas mencionadas são marcas registradas e nomes comerciais das
respectivas empresas. 46

2009-0046.indd 1 8/14/09 9:32:50 AM


Abril 2010
Gerente Geral
n.º 97
Benedito Gonçalves
Editor Internacional
João Martins
Publisher
Victor Hugo Visval Piiroja – Tel./fax: (11) 4195-0363
victor.piiroja@editorialbolina.com
Editor
Fernando Gaio fernando.gaio@editorialbolina.com
Diretor Técnico
Eng. Marcos Paulo de Souza Silva
marcos.paulo@editorialbolina.com
diretor de Arte
Flávio Bissolotti flavio.bissoltti@editorialbolina.com
Arte

Indice
Milton Oliveira milton.oliveira@editorialbolina.com
Wesley Costa wesley.costa@editorialbolina.com
publicidade
Luciano Itamar – Tel./fax: (11) 4195-0363
luciano.itamar@editorialbolina.com
Jornalista Responsável
Fernando Gaio (MTB 32.960) HARDWARE & SOFTWARE
Produção gráfica
Márcio Reginaldo marcio.reginaldo@editorialbolina.com
10 Existe vida além do USB!
Rafael Gomes rafael.gomes@editorialbolina.com
marketing e internet
Evelyne Guimarães evelyne.guimaraes@editorialbolina.com
52 Minicomputador de xadrez
Impressão
Van Moorsel
com ATM18
Distribuição Nacional pela DINAP S/A
Distribuidora Nacional de Publicações 58 Microcontroladores para
Editorial Bolina Brasil Ltda.
Alamenda Pucuruí, 51-59 – Bl. B - 1º Andar
iniciantes
Conjunto 1030 - Tamboré, Barueri, SP
CEP 06460-100 – Telefax (11) 4195-0363

Montagem
16 Olho mágico USB
Brasil - Espanha – Portugal
www.editorialbolina.com 28 Teclado luminoso
Fundador: António Bento

Áudio & Vídeo


Administração: Jorge Bento e Helder Lemos
International Controller: Pedro Lemos

Portugal
Bolina Portugal, Lda. 40 Mini pré-amplificador
Tel.: +351 21 413-1600 - Fax.: +351 21 413-1601
Ed. Central Park - R. Alexandre Herculano, 3 - 3º B
2795-240 Linda-a-Velha - Portugal 46 Pré-amplificador de bolso (II)
Espanha
Editorial Bolina, S.L.
Rufino González, 13 - 4ª Planta - 28037 Madri
Tel. +34 91 327 07 02 – Fax +34 91 754 26 68
medição e teste
DIREITOS DE REPRODUÇÃO

21 Testador de nível de
©Elektor International Media BV, 2010
The Elektor House, Allee 1, Limbricht, the Netherlands
Tel +31 46 4389444
Fax +31 46 4370161
audição para PC
A revista Elektor Eletrônica & Microinformática também
possui edições na Holanda, Alemanha, França, Inglaterra,
Suécia, Finlândia, Grécia, Índia, Portugal, Polônia e Espanha.

Assinaturas e Números Atrasados


IDEIAS PARA PROJETO
Editorial Bolina Brasil Ltda.
Alameda Pucuruí, 51-59 – Bl.B Conj.1030 51 Indicador de falta de água
Tamboré, Barueri, SP
CEP 06460-100 – Telefax (11) 4195-8591
assinaturas@editorialbolina.com
para plantas
PROPRIEDADES E DIREITOS
Os editores farão todos os esforços para que o material mante-
64 O ruído não é bom!
nha total fidelidade ao original e não poderão ser responsabili-
zados por erros gráficos surgidos.
As opiniões expressas em artigos assinados não correspondem
necessariamente às opiniões dos editores.
Todos os desenhos, fotografias, layouts de circuitos impressos
e textos publicados são propriedade da Segment B.V. e não
E-LABS
podem ser reproduzidos e transmitidos por qualquer meio,
seja qual for o motivo, incluindo fotocópias e escaneamentos,
integralmente ou em partes, sem a permissão por escrito dos
39 Carregadores de bateria
editores.
portáteis a energia solar
Questões técnicas
Nós podemos responder apenas a questões relativas a proje-
tos publicados há, no máximo dois anos, na Elektor Eletrônica
& Microinformática. Todas as dúvidas, questões e sugestões
devem ser encaminhadas ao Diretor Técnico da revista.
Para a compra de componentes e kits consulte o site
DOMÉSTICO
www.elektorbrasil.com.br. Apenas o componentes de projetos
publicados nos últimos dois anos são mantidos em estoque. 34 Padrões para domótica

elektor 04-2010 3
NOTÍCIAS

A maior bateria de lítio Microcontroladores de baixo consumo


do mundo A  Texas Instruments Incorporated anun-
No futuro não muito distante será necessário ciou a linha de microcontroladores MSP430
um modo eficiente de armazenar a energia Value Line, que oferece desempenho supe-
solar e eólica. Para responder a este desafio rior de microcontroladores de 16 bits e con-
a Evonik Industries e alguns parceiros estão sumo baixíssimo de corrente pelo preço de
desenvolvendo a maior bateria de Lítio cerâ- microcontroladores  de 8 bits.  “A nova famí-
mica do mundo. A bateria será baseada na lia MSP430G2xx é um marco para a TI, pois
tecnologia CERIO, uma combinação especial endereçará as múltiplas necessidades de
de materiais cerâmicos e materiais conduto- aplicação no segmento de baixíssimo custo
res, que garantem uma grande capacidade que  demanda performance e periféricos
de armazenamento, de modo seguro e capaz avançados, porém com foco permanente
de suportar vários ciclos de carga e descarga. em redução de custo”, afirmou Hamilton
Numa primeira fase será desenvolvida uma Ignacio, gerente de produtos e aplicações da TI para a América do Sul.
bateria capaz de armazenar 700 kWh e com Com preços a partir de U$$ 0,25 (FOB), a linha Value Line assegura que projetistas que utilizam
uma capacidade de saída de 1 MW. Para se microcontroladores 8 bits não precisarão sacrificar o desempenho, a eficiência de energia ou a
ter uma idéia da performance esperada, se escalabilidade por causa de preços. O roadmap inclui mais de 100 microcontroladores que serão
a bateria fosse carregada e descarregada lançados durante os próximos 15 meses, oferecendo um amplo portfólio que atende às várias neces-
completamente a cada 15 minutos, teríamos sidades de configuração de memória, periféricos e encapsulamento.
uma energia correspondente à utilizada por Os novos microcontroladores MSP430G2xx possuem código compatível com toda a plataforma dos micro-
4000 residências durante um ano. controladores MSP430, permitindo fácil migração de código  e upgrade para dispositivos mais avançados,
Depois de construída a bateria de 1 MW, o de acordo com a  evolução das necessidades da aplicação. Os novos microcontroladores têm suporte das
projeto passará para a fase seguinte, que ferramentas MSP430, software grátis e ampla rede de suporte de parceiros, possibilitando tempo menor
envolve a construção de uma bateria com para chegada ao mercado por toda uma gama de aplicações sensíveis a custos, incluindo aplicações de
uma capacidade de 10 Mw. segurança e sensores sensíveis ao toque. Para mais informações, visite www.ti.com/430value-pr.
Esta tecnologia poderá ser importante no Os novos microcontroladores MSP430G2xx estão com preços entre US$ 0,25 (FOB) e US$0,50
futuro, para a estabilização das flutuações (FOB), para cada mil unidades.
na rede de distribuição de energia elétrica www.ti.com/430value_estore-pr
causadas pelos geradores eólicos e solares. http://www.ti.com/430value_microcontrollers-pr
A sua utilização poderá aumentar a eficiên-
cia da rede de produção de energia e melho-
rar a qualidade da distribuição. Bosch inaugura nova fábrica na Alemanha
GeForce GTX480 A Robert Bosch inaugurou uma nova fábrica em
Reutlingen, Alemanha, que produzirá semicon-
A NVIDIA lançou a nova linha de processa- dutores de oito polegadas, componentes ele-
dores gráficos, o GTX 480 e o GeForce GTX trônicos utilizados em produtos de diversos segmentos como o automotivo, bens de consumo e
470. Top de linha da nova família de GPUs construção. A cerimônia de inauguração foi realizada no último dia 18 de março e contou com a
para aficionados, o GeForce GTX 480 é um presença do presidente alemão, Horst Köhler.
projeto totalmente novo que oferece o A nova fábrica é o maior investimento já feito pela Bosch em um único projeto - 600 milhões de euros.
maior desempenho de tessellation (infusão “Apesar da crise econômica, mantivemos o objetivo e os recursos para a realização desse projeto”,
de mosaicos), o componente chave para o disse Franz Fehrenbach, presidente mundial do Grupo Bosch. O objetivo da empresa é preparar-se
desenvolvimento na plataforma DirectX11, para atender a crescente demanda de componentes e sistemas eletrônicos cada vez mais complexos.
da Microsoft, para jogos de PC. A matéria-prima básica para a produção dos circuitos integrados e componentes micromecâni-
O GTX 480, acompanhado pelo GTX 470, é o cos são lâminas finas de silício com oito polegadas de diâmetro. O processo de produção desses
primeiro produto da Fermi, uma linha para con- componentes é tão complexo que é necessária uma média de seis semanas até que uma lâmina
sumidores. O GeForce GTX 480 e o GTX 470 passe por todas as etapas de produção.
GPUs oferecem vários novos recursos nunca Em cada lâmina são aplicadas estruturas finas e minúsculas, de modo que o processo só pode
antes vistos para jogos de PC – que incluem ser realizado em um ambiente extremamente limpo. Para garantir essa condição, o ar da área de
suporte para o traçado de raios em tempo real produção é filtrado intensivamente.
e a NVIDIA 3D Vision Surround para uma expe- A nova instalação, que ampliou o complexo fabril da Bosch em Reutlingen, conta com fundação,
riência de imersão total, tela larga, em 3D este- paredes e pisos intermediários especialmente sólidos e rígidos para evitar vibrações externas,
reoscópico enquanto você joga. como as do tráfego rodoviário, que podem afetar as sensíveis máquinas de pr odução.
Os produtos estarão disponíveis a partir de A Bosch estima que em 2016, quando essa fábrica deverá atingir sua capacidade total de produ-
meados de abril, nos principais parceiros de ção, até um milhão de chips serão feitos por dia, e cerca de 800 pessoas deverão fazer parte do
placas e montadores de PC do mundo. quadro de colaboradores.

4 04-2010 elektor
NOTÍCIAS

Microcontroladores Plataforma plug-and-play para HDTV


wireless da Silicon Labs A National Instruments apresentou recentemente dois novos instrumentos modulares PXI Express
Os novos dispositivos foram apresentados para RF, visando o teste automático de dispositivos sem fio. O NI PXIe-5663E 6.6 GHz vector signal
na feira Embedded World em Nuremberg, analyzer (VSA) e o NI PXIe-5673E 6.6 GHz vector signal generator (VSG) melhoram significativamente
Alemanha. Segundo a Silicon Labs, a nova os tempos de teste para uma imensa gama de dispositivos que usam os últimos padrões de comuni-
família de dispositivos oferece o melhor de cação sem fio incluindo redes locais (WLAN), WiMAX e GSM/EDGE/WCDMA. A nova funcionalidade
dois mundos: transmissão sem fios inte- (RF list mode) do instrumento permite o sequenciamento deteminístico de funcionalidades de nível
grada e um microcontrolador de elevada e de frequência para ajudar engenheiros a realizar as mudanças de configuração de RF mais rapida-
performance e eficiência. mente durante um teste. Adicionalmente, o novo wide-loop bandwidth mode melhora muito a velo-
A família de microcontroladores compatí- cidade de medição reduzindo o tempo de ajuste do oscilador local a 300 microsegundos ou menos.
veis com 8051 possui um consumo de cor- “Estes novos instrumentos de RF ilustram nosso contínuo comprometimento em ajudar engenheiros
rente muito baixo, quer em funcionamento, de teste a economizar dinheiro melhorando os tempos de teste,” disse Eric Starkloff, vice-presidente
ou no modo de espera. Os transceivers ofe- de marketing de produtos de teste da National Instruments. “A melhora de desempenho dos nossos
recem uma potência de saída de +20 dBm instrumentos de RF em 6,6GHz vai ao encontro da necessidade de realizar testes automáticos de
sem amplificador de potência externo e RF mais rapidamente que nas soluções tradicionais em aplicações de produção de alto volume.”
uma sensibilidade de -121 dBm. A gestão Usando o RF list mode, engenheiros podem configurar as novas placas NI PXI Express 6.6 GHz VSG e VSA
de alimentação é garantida por um conver- para chavear rapidamente por uma lista pré-programada de configurações de RF, incluindo frequência
sor comutado capaz de operar com tensões e nível, em intervalos de tempo determinísticos. O RF list mode também facilita medições de nível com
a partir de 0,9 V. maior exatidão, ajudando engenheiros a otimizar o nível de referência de entrada do NI PXIe-5663E VSA.
A Silicon Labs disponibiliza um kit de desen- No wide-loop bandwidth mode, os novos VSG e VSA podem configurar uma frequência central
volvimento com adaptador USB, alimenta- significativamente mais rápido que muitos instrumentos tradicionais de RF. Eles alcançam tempos
ção, modulo RF e um CD contendo diversos de sintonização típicos de 300 e 400 microsegundos para frequências entre 800 MHz e 1.950MHz.
manuais e software. Com tempos de configuração menores, engenheiros podem reduzir significativamente o tempo
www.silabs.com/Pages/default.aspx total de medição nas aplicações de teste automático em RF.
O pacote de instrumentação aprimorado NI RF 6,6GHz PXI Express é baseado na plataforma de teste
definida por software da NI, que incorpora tecnologias de PC padrão industrial, como os processadores
Novos processadores multicore e conectividade por barramento de instrumentação PCI Express. Pelo fato da instrumentação
Intel modular NI PXI RF ser definida por software, engenheiros têm vantagem ao usar o software gráfico de
A empresa anunciou a disponibilidade desenvolvimento de sistemas NI LabVIEW para definir algoritmos de medição para testar uma variedade
no Brasil da versão 2010 da sua família de dispositivos sem fio 5 a 10 vezes mais rápido que com a instrumentação de RF tradicional.
de processadores Intel Core para o www.ni.com/rf/platform
mercado corporativo, para atender a
demanda das empresas de todos os tam-
anhos por PCs com desempenho mais
microSDHC de 32 GB
flexível, prevenção de furto de dados A SanDisk lançou um cartão de memória microSDHC 32 gigabytes (GB), a
e preço acessível em um ambiente de mais alta capacidade já fabricada para telefones celulares e smartphones.
computação empresarial que muda com “Com o grande volume de fotos, vídeos e músicas que consumidores
muita velocidade. criam e carregam consigo, um cartão de memória de alta capacidade
As tecnologias presentes nos novos proc- é um componente essencial para os smartphones atuais”, disse Sanjay
essadores oferecem diversos recursos Mehrotra, presidente e diretor de operações da  empresa.
que auxiliam na gestão da infraestru- O cartão de 32 GB possui garantia limitada de 5 anos, com preço sugerido de venda no varejo de
tura de TI, segurança de dados e econo- US$ 199,99 (preço em R$ sob consulta). Os cartões também estão disponíveis com capacidades
mia de energia. Dentre estas novas tec- de 2, 4, 8 e 16 GB.
nologias estão presentes a versão 2.0 da A SanDisk está produzindo os novos cartões com base em sua tecnologia 32nm X3 (3 bits por
tecnologia anti-furto da Intel, Gerencia- célula), que possibilita a capacidade de 32 GB em um formato reduzido.
mento Remoto de Criptografia, a opção
“PC Alarm Clock” que possibilita agen-
dar a inicialização do PC e a execução de
tarefas de manutenção. O Intel Core i5 Relógio para umidade e temperatura
vPro também oferece opção de controle A Instrutherm, empresa especializada em instrumentos de medição há 25 anos, lançou o Termo-higrô-
remoto Intel “Keyboard-Video-Mouse”, metro HT-210, um relógio digital de mesa com medidor de umidade e temperatura interna e externa.
que permite a visualização remota da tela Semelhante a um despertador, o HT-210 é compacto e vem com dois sensores: um dentro do apa-
do PC mesmo com o sistema operacional relho e outro acoplado a um cabo ultrafino de 3 metros, que passa por frestas de portas e janelas,
inoperante. permitindo a medição das temperaturas de dentro e fora de ambientes que estejam fechados.
O HT-210 está disponível na loja virtual www.instrutherm.com.br e pelo valor médio de R$90.

6 04-2010 elektor
NOTÍCIAS

Pesquisa e Desenvolvimento contínuos


O Design Center da Freescale, em Jeff Bock: Em comparação com os
Campinas, reúne cerca de 180 pesqui- EUA e a Europa, o mercado do Brasil é
sadores envolvidos em projetos com pequeno, mas a taxa de crescimento
microcontroladores utilizados pela é muito grande, por isso, se queremos
empresa em todo o mundo. Mesmo crescer globalmente, este é um mer-
sem considerar a construção de uma cado onde precisamos ser dominan-
fábrica de semicondutores no Brasil, tes. Hoje, nós não temos quaisquer
Jeff Bock, Global Marketing Manager planos em curso para a construção
da área de soluções microcontrola- de fábricas no Brasil, mas continuare-
das para os setores Industrial e Multi- mos a ampliar os investimentos. Nós
mercado da empresa, reconhece que temos investido recursos em P & D e
o país tem um papel de liderança cres- temos um grande centro de design. Já
cente na eletrônica mundial. estamos há onze anos aqui e temos
180 pessoas projetando microcon-
Elektor: Quão importante é o Bra- troladores, sendo que muito do nosso
sil hoje em dia, considerando a área desenvolvimento nessa área vem do
comercial e de estratégia de marke- Brasil.
ting para Freescale? Provavelmente a jóia mais preciosa da
Jeff Bock: Eu estive na China por dois nossa organização são os engenheiros
anos para ampliar a nossa capacidade de projeto e nós realmente acredita-
de crescimento na região. Depois mos que podemos conseguir trazer
da China, temos o Brasil, a Europa pessoas talentosas e inteligentes para
Oriental e a Índia. Provavelmente, o conduzir e construir a nossa próxima
mais forte destes é o Brasil, onde nós geração de produtos aqui no Brasil.
temos boas relações com os clientes
e estamos preparados para crescer Elektor: Falando em tendências,
rapidamente. como o senhor avalia o mercado de
8-bit?
Elektor: O Brasil cresce mais rápido Jeff Bock: Nós somos um dos líde-
que outros mercados emergentes? res mundiais no mercado de 8-bit e
Jeff Bock: Eu vejo que os mercados do temos um enorme investimento em
Brasil e da Índia são muito semelhantes, não só rencia a Freescale e faz eles investirem nela são 16-bit. São dois setores de mercado diferen-
pelo tamanho, mas também nos tipos de clien- os softwares. A Freescale não é apenas silício, tes, um é primariamente focado em automó-
tes e tecnologias que eles estão precisando. O mas também as boas ferramentas de desenvol- veis e outro centrado na indústria. Isso para
mercado de semicondutores é quase do mesmo vimento, um sistema de hardware, modular e não falar da arquitetura de 32-bit. Estamos
tamanho nos dois países e nós já temos uma extensível, que permitem construir protótipos interessados nas três arquiteturas e no que
parte significativa de seus mercados. rapidamente e mostrar aos gerentes dizendo deve acontecer na indústria até 2050. Para o
“funciona!”. Eles podem usar nossos sistemas futuro, você verá um grande aumento na qua-
Elektor: Provavelmente os maiores desafios para construir as suas placas. lidade de unidades vendidas em 8-bit. Temos
para desenvolvedores em todo o mundo é a Assim, a combinação de ambiente de desenvol- um investimento significativo no desenvolvi-
necessidade de oferecer um produto de grande vimento que estamos criando e o poderoso sof- mento de 8-bits e vamos continuar a investir
valor tecnológico, com o custo de produção tware que complementa os nossos produtos é nessa arquitetura. É uma área fundamental
reduzido e que chegue rapidamente ao mer- um fator de diferenciação da Freescale no mer- não apenas para o mercado de baixo custo,
cado. Como a Freescale lida com este desafio? cado. Ninguém na indústria está oferecendo o mas também de equipamentos de valor ele-
Jeff Bock: A única coisa que você disse que eu tipo de software que estamos agregando aos vado. Controle de energia, conectividade sem
acho que é fundamental é a velocidade com se nossos produtos. fio e USB e controles de LCD são um exemplo.
leva um produto ao mercado. Para os nossos Essas são as áreas de elevado valor no mer-
clientes, pessoas que desenvolvem produtos, a Elektor: O Brasil está passando por um inte- cado de 8-bit.
coisa mais importante para eles é a rapidez e ressante momento político e econômico. Os E você vai ver, não apenas nós, mas mui-
se eles estão dispostos a pagar um pouco mais números positivos trazem mais crescimento e tos outros tentando investir nesse espaço.
por isso. Nós temos o silício, o nosso concor- investimentos. Que outros fatores justificam a Já o 16-bit tem uso mais fragmentado e
rente tem silício. Nós temos bons produtos e os implantação de uma fábrica de semiconduto- verticalizado.
nossos concorrentes também têm. O que dife- res no país? www.freescale.com

8 04-2010 elektor
1º SEMICON Anote em sua agenda
09 de Junho de 2010

Encontrar oportunidades...

Debater necessidades...

Criar soluções...

Prepare-se para participar do evento que vai reunir representantes do Governo,


Instituições de Ensino e Pesquisa, Fabricantes, Distribuidores e toda a comunidade
de desenvolvimento e aplicação em Eletrônica do país.

Realização:

Acesse o link e obtenha maiores informações:


www.elektorbrasil.com.br/eventos
HARDWARE & SOFTWARE

Existe vida
além do USB!

Como ligar o seu projeto


ao computador
?
Clemens Valens (Elektor)

Muitos projetos utilizam o computador do escritório ou um portátil como o “cérebro” do dispositivo


por exemplo, para armazenar dados, como um microcontrolador potente, ou para acessar
à Internet. As portas série e paralelo, antes usadas para implementar esta conexão, foram substituídas
pela interface USB. Agora que podemos vamos fazer?

Existem várias soluções para ligar um periférico a um computa- mos encontrar outras portas como PS/2, RS232 (porta COM), e
dor só temos que dar uma olhada ao redor do computador para porta paralelo. Todas estas portas estão rapidamente acessíveis,
ver isso! Por exemplo, o notebook no qual estou escrevendo este sem ser preciso abrir o computador.
artigo possui uma saída S/PDIF, duas entradas de áudio (micro- Cada porta tem as suas vantagens e desvantagens, e o leitor
fone e linha), quatro portas USB, uma porta Ethernet, e uma precisa escolher o que melhor serve aos seus propósitos. A
interface para modem. Por acaso, este modelo não tem uma escolha da porta da comunicação do computador não só afeta
conexão FireWire. O computador suporta ainda as normas WiFi e a complexidade do hardware de interface a criar entre o com-
Bluetooth, mas não IrDA. Em computadores mais antigos, pode- putador e o seu projeto, mas também a complexidade do soft-

10 04-2010 elektor
ware. Estas duas dimensões são proporcionais à quantidade de
dados a serem transferidos e à velocidade de transmissão que
pretende.
Este artigo não aborda a construção de uma carta para compu-
tador, sendo que nos dias de hoje não é particularmente fácil
construir as suas próprias placas de expansão para PC. É muito Figura 1. O módulo UM232R da FTDI é uma interface série/USB
mais fácil comprar este tipo de placas já prontas para usar, com muito fácil de integrar num projeto já existente.
todos os controladores necessários.

Porta série assíncrona


São provavelmente as portas mais simples de usar para controlar
o seu projeto. As portas série (vamos deixar o “assíncrono” de
lado para facilitar) podem ser bem integradas em sistemas ope-
racionais e normalmente apenas precisam de três fios. Existem
imensas ferramentas de software, gratuitas, para portas série,
com bastante documentação, e protocolos de comunicação
fáceis de entender. Além disso, muitos microcontroladores têm
uma ou mais interfaces série assíncronas compatíveis (UARTs), e
mesmo que não exista nenhuma, esta pode ser facilmente criada
em software.
As antigas portas série estão virtualmente banidas dos compu-
tadores modernos, mas existem alternativas. Em primeiro lugar,
a porta série/USB. Este é um pequeno circuito que converte uma
porta USB numa porta série. Para o sistema operacional (OS), a
porta série USB aparece como uma porta série convencional que
pode ser usada do modo que estamos habituados.
Esta solução é simples de usar: tudo o que precisa fazer é adi-
cionar um circuito integrado série/USB ao seu projeto. Os mais
comuns são o PL2303 da Prolific [1], a família CP210x da Silicon
Labs [2] e os dispositivos da FTDI [3] (Figura 1). Os controlado- Figura 2. Nesta figura podemos ver o NE-4110 vendido pela
Moxa, uma ponte entre uma porta série RS485/RS422 e uma
res do sistema operacional são fornecidos pelos fabricantes dos
porta Ethernet.
circuitos integrados. Para o utilizador, é quase como uma porta
série convencional, mesmo que às vezes seja um pouco lenta.
Lembre-se de ajustar a latência do controlador para o mínimo,
se possível.
Outra possibilidade é utilizar uma conexão série Ethernet. Exis-
tem vários conversores série/Ethernet disponíveis no mercado
(também designados como servidores série). Usando o contro-
lador do fabricante do conversor, pode adicionar uma ou mais
portas série virtuais ao sistema operacional do computador.
Estas portas podem ser acessadas como se tratassem de por-
tas convencionais. As portas série/Ethernet (Figura 2) são mais
dispendiosas que as portas série/USB, mas oferecem um isola-
mento elétrico, a possibilidade de ter vários dentro do mesmo
encapsulamento, diferentes normas de interface (RS232, RS485,
etc.), WiFi, longas distâncias, e uma interface de configuração
user friendly através do browser de Internet, que por vezes tam-
bém lhe permite controlar várias entradas/saídas não série.
Uma terceira solução consiste numa conexão série Bluetooth.
Neste caso, vamos aumentar um pouco a complexidade, uma vez
que vamos também ter a conexão Bluetooth para configurar. Tal
como o conversor série/USB, os circuitos integrados Bluetooth
possuem normalmente uma porta série para facilitar a criação Figura 3. O módulo BTM222 da Rayson mede apenas 28x15 mm
de uma conexão sem fios. A vantagem deste tipo de conexão é e disponibiliza uma conexão série sem fios Bluetooth.

elektor 04-2010 11
HARDWARE & SOFTWARE

xão Bluetooth, com o seu código PIN e outros comandos, requer


programação extra.
Como se pode ver, as portas série ainda são fáceis de usar,
mesmo que tenha que usar uma porta USB, ou outra, para isso. A
grande desvantagem das portas série é a sua lentidão. Se tudo o
que precisa fazer é enviar um comando de vez em quando, ou ler
Figura 4. O controlador LAN CS8900A Crystal ainda vendido, alguns dados, esta porta é mais do que suficiente, mas quando
agora na versão A. precisa de uma taxa de transferência maior, o melhor é procurar
uma outra alternativa.

Porta paralela
Também não! A porta paralela, tal como a porta série, também já
não é disponibilizada nos computadores mais recentes. Mas, con-
trariamente às portas série, os conversores paralelo/USB nunca
foram muito populares. Existem portas de expansão num note-
book que lhe permitem adicionar uma impressora Centronics,
mas não é exatamente igual a velha porta paralela bidirecional
EPP/ECP. Além disso, é bastante complexo comunicar com este
tipo de interface, dado que não existe muita documentação
relacionada.
Quando temos muitos dados para transferir, é melhor usar uma
porta USB, Ethernet, ou FireWire, ou talvez até mesmo a placa de
som. Se não tiver mais nenhuma solução, pode sempre adicionar
mais uma placa de expansão ao barramento PCI.
Figura 5. O módulo WIZ 830MJ contém não só um controlador A vantagem de usar a porta FireWire ou USB é que os sistemas
Ethernet, mas também uma pilha TCP/IP. operacionais já possuem os controladores definidos para dife-
rentes tipos de dados. Por exemplo, o USB utiliza classes que
permitem ao sistema operacional carregar o controlador ade-
quado. Desta forma, a aplicação pode ter acesso a porta de um
modo normal, o que simplifica a programação, uma vez que está
tudo documentado e existem diversos exemplos disponíveis na
Internet. De igual forma, você precisa escolher cuidadosamente a
classe do periférico USB, uma vez que isso determina a largura de
banda que o sistema operacional tem que atribuir ao periférico
(por exemplo, 64 Kb/s para um dispositivo Full Speed) – embora
esta questão seja cada vez mais teórica devido aos dispositivos
USB Super Speed. Também para o periférico, o que é ainda mais
complicado, a classe do dispositivo USB deve ser respeitada.
Assim, não é suficiente adicionar um dispositivo série/USB à sua
placa, precisa-se escolher um microcontrolador com uma porta
USB integrada, visto que temos mais programação a fazer.
A conexão FireWire é ainda mais complexa, dado que não parece
Figura 6. O módulo RCM3700 da Rabbit facilita a conexão de existir nenhum dispositivo que lhe permita facilmente adicionar
uma porta Ethernet com microcontrolador num projeto já uma porta FireWire num projeto construído em casa. Além disso,
existente. será que a palavra FireWire já não está acabada?
Uma boa alternativa ao protocolo FireWire e USB é a Ethernet.
Nunca é demais repetir: não é muito complexo adicionar uma porta
o isolamento de sinal inerente a um sistema sem fios. Se o seu Ethernet a um projeto seu construído em casa. Existem vários con-
computador não tiver uma conexão Bluetooth integrada, pode- troladores Ethernet integrados que são bastante simples de imple-
se adicionar uma chave Bluetooth USB por alguns dólares. Assim, mentar num circuito (por exemplo, da Realtek [4] ou da Natio-
neste caso, temos um conversor série/Bluetooth/USB. No pro- nal Semiconductor [5], ou o famoso CS8900A da Cirrus Logic [6]
jeto, precisa apenas adicionar um pequeno módulo Bluetooth à (Figura 4), ou o ENC28J60 da Microchip [7]). É ainda possível imple-
porta série do microcontrolador (Figura 3). No que diz respeito mentar uma porta Ethernet sem utilizar um controlador dedicado,
ao software, é um pouco mais complicado, uma vez que a cone- isto se o microprocessador for suficientemente rápido [8].

12 04-2010 elektor
A verdade é que uma porta Ethernet necessita de um microcon-
trolador com muitos recursos, especialmente de RAM, e progra-
mação mais complexa mas na Internet existem imensas biblio-
tecas que podem reduzir a quantidade de trabalho que o leitor
precisaria se dedicar.
Para muitas pessoas, Ethernet e Internet são sinônimos, mas na
verdade não há necessidade encaminhar tudo por uma pilha TCP/ Figura 7. Por difícil que possa ser de acreditar, este simples
/IP para usar uma rede Ethernet. Particularmente quando está módulo contém um pequeno computador capaz de rodar o
envolvida uma conexão direta entre o computador e o perifé- sistema operacional Linux.
rico, pode ser bastante vantajoso não utilizar uma pilha TCP/IP.
Claro que, uma pilha TCP/IP oferece enormes vantagens (todos
os roteadores e periféricos Ethernet funcionam majoritaria-
mente sobre TCP/IP), mas aumenta a carga de processamento
para as aplicações de utilizador. Por isso, o “pai fundador” WIZ-
net [9] disponibiliza uma série de circuitos integrados que não
só possuem controladores Ethernet, mas também hardware para
implementar uma pilha TCP/IP (Figura 5). A sua última oferta, o
W7100, inclui também um processador compatível com o 8051.
Estes dispositivos podem ser controlados através de um barra-
mento SPI ou, caso necessite de uma maior rapidez, um barra-
mento paralelo. Existem também pequenos módulos que lhe
permitem adicionar uma porta Ethernet a qualquer aplicação
(por exemplo, o Rabbit [10], Figura 6). Usualmente incluem um
processador que pode ser usado pela aplicação de modo a evi-
tar a necessidade de adicionar um outro microprocessador. Exis- Figura 8. Chave IrDA USB de um fabricante desconhecido
tem ainda outros módulos bastante potentes, capazes de rodar (fonte: Wikipedia).
o sistema operacional Linux (Lantronix [11], Digi [12], Figura 7).
A rede Ethernet integra-se muito bem em sistemas operacionais
modernos sendo muito simples enviar e receber dados a altas
velocidades. Não há qualquer questão relacionada com a classe
do periférico ou outras complicações – tudo o que precisa ser
feito é abrir a porta para que se possa utilizar.

Placa de som
Todos os leitores sabem que a placa de som pode ser usada para
transformar o seu computador num osciloscópio ou gerador de
funções. O SDR (Software Defined Radio) é também um exem-
plo de outra utilização para a placa de som. Mas esta interface
é capaz de efetuar muito mais funções. Não só permite a comu-
nicação em full-duplex, como também possui vários canais: 2
(estéreo) ou 6 (5+1), se não mais. Figura 9. Placa FOX LX832 da Acme Systems. Esta placa,
A grande vantagem da placa de som quando comparada com medindo apenas 66x72 mm, contém uma porta Ethernet,
os outras portas reside nas suas saídas analógicas, o que per- duas portas USB 1.1, E/S digitais, uma porta I2C, portas série e
mite efetuar o controle de circuitos através de níveis de tensão paralelo, funcionando sobre um sistema Linux, custa apenas
analógicos. A placa de som pode controlar um pequeno circuito cerca de 245 dólares.
que não possua um microcontrolador. Na verdade, é bastante
simples enviar um arquivo de som que contenha níveis de tensão
de controle. Para um pouco mais de flexibilidade, precisa embar- tensões analógicas, mesmo sendo valores pequenos caso utilize
car a programação da placa de som. Este assunto é tratado por a entrada para microfone.
imensos sites na Internet. Uma desvantagem da placa de som é o seu baixo nível de sinal de
A placa de som pode ainda emular vários protocolos de comuni- saída, tipicamente na ordem de 1 VPP – provavelmente precisa de
cação série. Usando uma frequência de amostragem de 96 kHz, amplificadores para processar esses sinais de saída.
podemos alcançar velocidades de comunicação aceitáveis. Tenha atenção também, que a placa de som não é normalmente
A entrada da placa de som permite efetuar a leitura do nível de capaz de processar sinais de entrada DC, devido aos capacito-

elektor 04-2010 13
HARDWARE & SOFTWARE

MMI
Se estiver usando uma pilha TCP/IP, pode dar ao seu projeto uma interface gráfica através do seu browser. Adicionando um pequeno servi-
dor HTTP ao software do microcontrolador, o projeto passa a ser capaz de gerar arquivos HTML que o browser pode abrir. Desta forma, o
projeto pode ser controlado usando o mouse do computador. Tenha atenção que uma pilha TCP/IP funciona igualmente bem quer seja so-
bre uma conexão série RS232 ou sobre uma conexão Ethernet – este consiste apenas no protocolo de comunicação.

res em série nas suas entradas e saídas. É ainda conveniente ter dos, impedindo a sua utilização com microcontroladores peque-
uma idéia prévia sobre a frequência de funcionamento mínima nos. A Microchip, o fabricante de pequenos e grandes microcon-
e máxima que a placa de som pode processar. troladores, fornece gratuitamente (sim, gratuitamente) uma
pilha para comunicação IrDA para os seus controladores de 16
Porta PS/2 bits.
Esta é uma porta série síncrona. As portas PS/2 são bidirecionais, A vantagem da interface IrDA é a confiabilidade da comunicação
que podem ser usadas para controlar uma aplicação e receber e o isolamento de sinal; a desvantagem é a necessidade de linha
dados. Normalmente, estas portas são usadas para conectar o de visada direta entre o computador e o periférico. Além disso, a
teclado e o mouse ao computador. O protocolo de comunicação conexão IrDA é apenas half-duplex, uma vez que o receptor fica
é muito simples e consiste numa linha de dados e numa linha de bloqueado pelo transmissor alojado no mesmo encapsulamento.
clock para a sincronização. Os níveis de tensão situam-se entre Para implementar uma porta IrDA, tudo o que necessita fazer é
os 0 V e os 5 V. Qualquer microcontrolador com uma interface adicionar ao seu projeto um transmissor/receptor infravermelho
SPI pode implementar uma porta destas, mas é também possí- (como o TFDU6301 da Vishay [13] - uma escolha puramente ale-
vel implementar esta porta por software através da manipula- atória) e criar a pilha de comunicação.
ção de bits.
Por definição, o sistema operacional do computador trata os E finalmente…
dados recebidos nas suas portas PS/2 como se fossem provenien- Neste artigo, mencionamos principalmente os modos de ligar
tes de um teclado ou de um mouse. Assim, fazendo com que um projeto de fabricação caseiro a um computador. Mas existe
o seu projeto envie a informação correta, pode escrever direta- uma outra solução, mencionada por breves instantes quando
mente num arquivo ou mover o cursor do mouse. Usando atalhos estávamos falando sobre o protocolo Ethernet: tornar o projeto
do teclado pode executar todos os tipos de comandos. O mais suficientemente potente de modo que não precise de um com-
interessante, talvez, é desviar determinados dados bem definidos putador. Existem centenas de pequenas placas com processado-
para depois os recuperar numa aplicação pessoal. Isto requer um res capazes de rodar o sistema operacional Linux, ou Windows
pouco mais programação do lado do computador – mas não é CE, constituídas especialmente para controlar dispositivos. As
nenhuma ciência espacial! portas de E/S estão integradas, tal como a porta série, Ether-
net e USB. Estas podem ser encontradas pela sigla SBC (Single
IrDA Board Computer), e são geralmente compatíveis com computa-
Esta porta de infravermelhos, bastante popular desde os anos dores baseados em processadores Intel ou AMD, mas também
90, permite um computador portátil comunicar com um celu- com algumas placas com processadores MIPS, ARM ou Coldfire,
lar, por exemplo, mas foi agora substituído pelo Bluetooth ou com bastante memória RAM e memória Flash (Figura 9). Para
USB sem fios. Apesar disso, existem ainda muitos portáteis com quê gastar horas batalhando para construir uma pilha TCP/IP na
uma porta IrDA. Quem diria, a interface IrDA está novamente na memória de um microcontrolador de 8 bits enquanto pode fazer
vanguarda com o aparecimento do novo protocolo IrSimple que a mesma coisa em cinco minutos recorrendo a uma placa de 32
permite taxas de transferência mais elevadas, até 4 Mb/s. Ainda bits com sistema operacional Linux, que dificilmente será bem
mais rápido é o protocolo Giga-IR para taxas de transferência até mais dispendiosa? Pense muito bem nisto da próxima vez que
1 Gb/s. Para computadores sem porta IrDA, existem é claro cha- começar algum projeto com um microcontrolador.
ves IrDA/USB (Figura 8).
O IrDA (Infrared Data Association) não é uma porta série básica, (090772-1)
mas de fato utiliza protocolos de comunicação bastante sofistica- Artigo original: There’s More to Life than just USB! – January 2010

Internet [8] www.cesko.host.sk/IgorPlugUDP/IgorPlug-UDP%20


%28AVR%29_eng.htm
[1] www.prolific.com.tw
[9] www.wiznet.co.kr
[2] www.silabs.com
[10] www.rabbit.com
[3] www.ftdichip.com
[11] www.lantronix.com/device-networking/embedded-device-
[4] www.realtek.com.tw
servers/xport-pro.html
[5] www.national.com/analog/interface/ethernet
[12] www.digi.com/products/embeddedsolutions/digiconnect-
[6] www.cirrus.com/en/products/pro/detail/P46.html me9210.jsp#overview
[7] www.microchip.com [13] www.vishay.com/ir-transceivers/list/product-84668/

14 04-2010 elektor
MONTAGEM

Olho mágico USB


Indicação da carga de processamento
de uma CPU através de uma válvula
Martin Ossmann (Alemanha)

O filho do autor é um perspicaz aficionado dos computadores. Já tendo


construído vários mostradores a LEDs controlados via interface USB,
decidiu que seria uma boa idéia ter um indicador de carga de processa-
mento da CPU. O autor, por sua vez, é um grande aficionado da eletrô-
nica do passado, e assim surgiu a idéia de utilizar uma válvula como
medidor de carga da CPU. A alimentação e o controle são ambos dispo-
nibilizados através do barramento USB do computador. Uma variante
mais simples do circuito é usar um medidor de quadro móvel, também
descrito neste artigo.

Na verdade, foi a idéia do medidor móvel usando qualquer um dos muitos progra- de escala com 10 mA ou 2 V é adequado.
que surgiu primeiro: o protótipo é apresen- madores AVR existentes no mercado. O Antes de ligar o circuito ao computador é
tado na Figura 1. Com o objetivo de utili- software que é executado no ATtiny2313, conveniente verificar que as ligações USB
zar apenas componentes disponíveis, foi descrito numa seção específica, está dispo- estão corretas, caso contrário pode provocar
implementado uma interface USB por nível gratuitamente para download no site danos graves no PC devido a curto-circuitos
software num microcontrolador Atmel. da Elektor. ou tensões inversas.
Existem várias pilhas alternativas disponí- O valor analógico que controla o medidor
veis, incluindo AVR309 [1], V-USB [2] e avr- é gerado usando modulação por largura Olho mágico
cdc [3]. Para o medidor de carga do CPU de pulso (PWM). O software que roda no Os aficionados da eletrônica “antiga”
escolhemos a pilha USB descrita na Nota de computador envia a quantidade de carga ficarão certamente muito felizes ao ver
Aplicação AVR309 por Igor Cesko. de processamento da CPU como porcenta- a carga de processamento da CPU do seu
gem (isto é, como um valor inteiro entre 0 computador indicada através de um “Olho
Primeiro circuito e 100). O AVR multiplica esse valor por dois mágico” implementado com uma válvula.
Coma a Figura 2 mostra, o circuito resul- e escreve o resultado no registro de con- Antigamente, durante os anos 60, as vál-
tante é muito simples e pode ser construí- trole da saída PWM. A saída do sinal PWM vulas “olho mágico” eram usadas como
do facilmente numa pequena placa perfu- é efetuada no pino OC0B (PD5). Para 100% indicadores de sintonia em receptores de
rada para protótipos. O LED vermelho faz de carga de processamento a tensão média rádio, ou de nível de sinal em leitores de
com que a tensão de 5 V do barramento USB na saída PWM é de (2×100) / (255×3,3) V fita. Para o nosso medidor “Olho mágico”
desça para os 3 V necessários ao microcon- = 2,6 V. usamos uma válvula do tipo EM84 (6FG6)
trolador AVR. É usado um cristal de 12 MHz O potenciômetro P1 permite adaptar o cir- (Figura 3). Esta válvula ainda está dispo-
para assegurar que a frequência de clock do cuito de modo a usar diferentes tipos de ins- nível em alguns fabricantes, e uma nova
barramento USB seja adaptada. trumentos de quadro móvel. Qualquer ins- versão está atualmente em produção na
O microcontrolador pode ser programado trumento que tenha uma deflexão máxima China, com um preço estimado a partir

16 04-2010 elektor
de aproximadamente 19 dólares. A refe-
rência do dispositivo produzido na China Características
é 6E2P [4]. • Exibição da carga de processamento da CPU em termos de porcentagem (0-100).
• Alimentação e controle por USB.
• Microcontrolador ATtiny com interface de software USB.
Segundo circuito
• Duas versões com o mesmo firmware.
A válvula precisa de uma tensão de aque-
• Exibição usando medidor com quadro móvel ou válvula EM84 “Olho mágico”.
cimento de 6,3 V e uma tensão de anodo
• Muitos poucos componentes.
de cerca de 200 V. Um pequeno conversor
• Válvula indicadora de baixo custo.
push-pull, não regulado, é usado para gerar
• Consumo de corrente (versão com quadro móvel): inferior a 100 mA.
estas tensões a partir dos 5 V fornecidos
• Consumo de corrente (versão “Olho mágico”): inferior a 500 mA.
pelo barramento USB. As tensões de saída • Software para PC e microcontrolador (com código fonte) disponíveis gratuitamente no
do conversor são determinadas pela rela- site da Elektor.
ção entre espiras do transformador no cir-
cuito (Figura 4). Neste caso temos 10 espi-
ras no lado dos 5 V do primário, resultando
num valor de 0,5 V por espira. A tensão de
aquecimento é obtida a partir da derivação
no enrolamento do primário, 12 espiras
adiante, resultando num valor de tensão
de 6 V. O enrolamento do transformador é
explicado numa seção de texto específica.
Com uma corrente de aquecimento de
0,21 A temos uma potência total de aque-
cimento de 1,3 W. A tensão de anodo pre-
cisa de 2 mA para 200 V para uma potên-
cia de 0,4 W. O consumo de corrente total
para os 5 V é então:

I = (1,3 W + 0,4 W) / 5 V = 0,34 A

O que é superior aos 100 mA que a interface


USB do computador pode fornecer direta-
mente. Uma dificuldade adicional é que a
resistência de aquecimento tem uma resis-
tência muito baixa quando está fria, e se for
ligada de repente origina um pico de cor-
rente na interface USB. Figura 1. Versão do medidor de CPU com quadro móvel.
Esse problema é resolvido da seguinte
forma: quando a alimentação é aplicada o
conversor push-pull é controlado por um +5V +3V3
sinal com um ciclo de trabalho reduzido. D1
Isto significa que a corrente fornecida (e
C1
conseqüentemente a corrente requisitada)
20

R1
seja baixa enquanto a resistência vai aque-
VCC

100n
1k5

1
cendo gradualmente. Em seguida, com o K1 PA2/RESET GND
1 IC1 19
(SCK) PB7
controle do PC, as tensões de aquecimento 2 12
(AIN0) PB0 (MISO) PB6
18
e anodo são gradualmente incrementadas. 3 13
(AIN1) PB1 (MOSI) PB5
17
4 6 16
O consumo de corrente é mantido abaixo PD2 (INT0) PB4
15
ATTiny2313 (OCI) PB3
dos 500 mA, valor que os testes mostram
5
6

7 14
PD3 (INT1) PB2
estar, na prática, dentro da capacidade da 8
PD4 (T0) PD0 (RxD)
2
USB-B 11 3
maioria das portas USB. PD6 (ICP) PD1 (TxD)
9
R2
PD5 (T1) 220R
O controle do circuito push-pull a transis-
XTAL1

XTAL2

GND

tores necessita de um segundo gerador


P1 M1
5

10

PWM para produzir os dois pulsos (não X1

sobrepostos) para as gates dos FETs. A ten-


são de anodo é gerada recorrendo a um cir- C6 12MHz C7 4k7

cuito duplicador de tensão com o intuito de 22p 22p


reduzir o número de espiras necessárias no 090788 - 12
transformador. A saída do transformador é GND
de 220 × 0,5 V = 110 V, que depois de ser
aplicada ao circuito duplicador de tensão Figura 2. Diagrama do circuito do medidor de CPU com bobina móvel.

elektor 04-2010 17
MONTAGEM

dor PWM do medidor (Timer 0); se o bit


estiver com o valor lógico zero, estes des-
tinam-se ao gerador PWM da tensão de
alimentação (Timer 1).
O software do PC fornece o valor da carga
de processamento da CPU como um valor
de porcentagem entre 0 e 100. O software
no AVR multiplica este valor por dois antes
de o enviar para o Timer 0 (gerador PWM)
que está configurado com um valor de
período de 255. Se o bit mais significativo
do byte de controle for zero o valor é usado
para controlar o ciclo de trabalho dos pul-
sos de controle do conversor de tensão
comutado.
As alterações ao software original para
implementar estas novas funcionalidades
estão apresentadas na Listagem 1.
Figura 3. Versão alemã (RTF) e chinesa da válvula EM84/6FG6. A outra modificação necessária ao soft-
ware original é adicionar um trecho de
passa para o valor pretendido. O transistor aplica-se a todos os diodos e transistores, código para inicializar o temporizador
T3, em conjunto com a resistência R3 e R4, microcontrolador, transformador, e capaci- do sinal PWM. Durante a programação
e o capacitor C5, produz um sinal PWM de tor eletrolítico (C2). O nosso protótipo fina- do ATtiny2313 é essencial assegurar que
alta tensão para controlar o próprio indi- lizado encontra-se na Figura 6. as configurações dos fuse bits são as cor-
cador. No coletor de T3 temos uma onda retas (veja a seção de texto “Notas de
quadrada, que depois é filtrada por R4 e Firmware software”).
C5. No que diz respeito à ligação USB e ao O software original apresentado na nota
circuito do sinal de clock, o circuito é idên- de aplicação AVR309 da Atmel permite Controlador USB para PC
tico à nossa primeira versão. controlar uma porta USB de 8 bits. Com Para permitir o PC comunicar com o circuito
uma pequena modificação, em vez de os arquivos dos controladores que acompa-
Placa de circuito impresso transferir o valor para uma porta pode- nham a nota de aplicação AVR309 têm de
O laboratório da Elektor desenhou uma mos usar isto para controlar o gera- ser instalados. Os arquivos são o AVR309.
placa de circuito impresso para a versão dor PWM. O mesmo software é usado inf, AVR309.sys (controlador) e o AVR309.dll
“Olho mágico” do circuito (Figura 5). nas duas versões do circuito (Figura 2 e (biblioteca).
Todos os componentes, incluindo a base Figura 4). Tenha em atenção que temos A melhor maneira é pôr esses três arqui-
para a válvula, são montados na placa de dois geradores PWM para controlar: deci- vos numa pasta e depois usar essa pasta
circuito impresso. Todos os componentes dimos qual o gerador que é endereçado para a instalação USB manual. A ferra-
são do tipo convencional e como sempre através do bit 7 (bit mais significativo) do menta USBview (ou outra idêntica) pode
devem ser tomadas precauções na monta- valor de dados. Se o bit estiver no valor ser usada para verificar se o PC consegue
gem dos dispositivos com polaridade: isto lógico um, os dados destinam-se ao gera- ver o medidor de carga de processamento

+5V +3V3 +5V


D1
D3

C1 1 L1
20

BY448 R2 R3
R1
V1
330k
VCC

22k

100n 2 EM84
1k5

1
K1 PA2/RESET 5 6E3P
1 IC1 19 GND
(SCK) PB7 3 C4 6FG6
2 12 18
(AIN0) PB0 (MISO) PB6 6
9

3 13 17 IRLU014 T1 100n
(AIN1) PB1 (MOSI) PB5 4
4 6 16 250V
PD2 (INT0) PB4 7
15 7
ATTiny2313 (OCI) PB3 1
5
6

7 14
PD3 (INT1) PB2 C2 8 3
8 2
PD4 (T0) PD0 (RxD) EFD20 R4
USB-B 11 3 100u
PD6 (ICP) PD1 (TxD) C5
9 25V
47k

PD5 (T1)
4

5
XTAL1

XTAL2

GND

100n
250V
T2
5

10

X1 D2 T3
C3 R7
47k
R5 R6
C6 12MHz C7
IRLU014 BY448 100n
250V
MPSA42
15k

15k

22p 22p

090788 - 11
GND

Figura 4. Diagrama do circuito do medidor de CPU “olho mágico”.

18 04-2010 elektor
Enrolamento do transformador
O enrolamento do transformador L1 não deve apresentar grandes dificuldades. Em
primeiro lugar faça o enrolamento secundário. Este consiste em 220 espiras de fio
de cobre esmaltado de 0,1 mm (AWG 38), enrolado entre os pinos 4 e 5 da forma. O
pino 1 da forma pode ser identificado pelo canto cinzelado, e os outros pinos podem
ser identificados usando o datasheet (por exemplo, da EPCOS). Se não tiver disponí-
vel fio de cobre com um diâmetro de 0,1 mm (AWG 38) pode-se também usar um fio
de cobre com diâmetro de 0,15 mm (AWG 34) para o secundário.

Forma com enrolamento secundário


Para isolar o enrolamento secundário (com altas tensões) do primário é usada uma
camada de fita isoladora. Em seguida faça o enrolamento primário em duas partes,
cada uma com uma derivação. Para o enrolamento do primário deve ser usado fio
de cobre esmaltado com um diâmetro de 0,3 mm (AWG 28) ou 0,4 mm (AWG 26).
Cada uma das quatro partes do enrolamento primário deve ser enrolada na mesma
direção da anterior (veja os pontos assinalados no diagrama do circuito). Comece
no pino 1 e enrole quatro
Forma com enrolamento secundário
espiras; depois faça uma
derivação no pino 2. Em seg-
uida enrole mais seis espiras
na mesma direção até ao pino 3, onde este enrolamento termina. Inicie o novo en-
rolamento no pino 6, uma vez mais com seis espiras na mesma direção, antes de
efetuar uma derivação no pino 7. A partir dai enrole mais quatro espiras na mesma
direção até terminar no pino 8.

O transformador completo
O passo final é montar as duas metades do núcleo (sem espaçamento de ar)
fixando-os com as molas. Em alternativa, pode ser usada fita isolante ou cola. Se
usar cola, tenha em atenção para não deixar nenhuma bolsa de ar entre as duas
metades do núcleo.
O transformador completo

do CPU: simplesmente, basta olhar para a Listagem 1.


lista de dispositivos identificados e ver se o
medidor de carga de CPU (ID: AVR30USB) mov temp0,ACC ; fetch USB value
está presente.
andi temp0,0x80 ; check MSB
Software para PC breq SMPSpwm ; if = 0 we have a SMPS set
Foi escrito um programa em C (usando o
CPUload: mov temp0,ACC ; fetch USB value again
Visual C, versão 6) para enviar a informa-
ção da carga de processamento da CPU lsl temp0 ; multiply *2, range now 0..200
para o AVR. A carga de processamento é out OCR0B,temp0 ; control instrument PWM
determinada usando a técnica descrita
ret ; and done
em [5], e as rotinas na biblioteca AVR309
são usadas para efetuar a comunicação SMPSpwm: mov temp0,ACC ; fetch USB value again,
com o AVR. ; must be <50
O programa pode ser usado com qual-
out OCR1AL,temp0 ; set SMPS-PWM output A
quer versão do medidor de carga de CPU.
Se o programa for executado sem qual- ldi temp0,100 ; compute 100-value
quer parâmetro de entrada, em primeiro sub temp0,ACC
lugar vai incrementar gradualmente o
ciclo de trabalho de comutação da tensão out OCR1BL,temp0 ; and set SMPS-PWM output B
de alimentação. Depois, a cada décimo ret
de segundo, determina o valor de carga

elektor 04-2010 19
MONTAGEM

Lista de componentes
Resistências:
R1= 1,5 kΩ
R5;R6= 15 kΩ
R2= 22 kΩ
R4;R7= 47 kΩ
R3= 330 kΩ

Capacitores:
C1;C3;C4;C5= 100 nF/250 V
C2= 100 µF/25 V
C6;C7= 22 pF

Bobinas:
L1= transformador; forma Figura 5. Placa de circuito impresso do medidor de CPU baseado na
#B66418WL008D1 – clip #B66418B2000 – válvula EM84, desenhada pelo laboratório da Elektor.
núcleo #090788-41

Semicondutores: IC1= ATtiny2313-20PU, programado (Refª K1= Interface USB tipo B


D1= LED vermelho, 20 mA 090788-41) V1= Válvula EM84 (6FG6) e suporte de 9
D2;D3= BY448 pinos
T1;T2= IRLU014 Diversos:
T3= MPSA42 X1= Cristal quartzo 12 MHz PCI (Ref.ª 090788-1).

da CPU e a envia para o AVR como uma


porcentagem. O ciclo de trabalho do sinal
PWM precisa ser controlado numa deter-
minada gama para ser usado com a versão
do circuito “Olho mágico”, e esta gama de
controle pode ser especificada passando
dois parâmetros para o programa. Se for
fornecido apenas um parâmetro então
temos uma saída com um ciclo de traba-
lho fixo. Isto permite efetuar o teste e cali-
bração ao circuito: por exemplo, para cali-
brar o ponto de 100% execute o programa
da seguinte forma, Figura 6. O protótipo final depois de montado.

CPUshow 100 <enter> O circuito que acabamos de descrever na Internet, e que simplesmente combi-
ilustra o que é possível fazer usando nando essas idéias de uma nova maneira
E em seguida ajuste o potenciômetro P1 meios relativamente modestos. Para um podemos implementar um novo e inte-
para obter uma deflexão de escala completa leitor astuto, foi fácil perceber que todas ressante projeto.
no medidor. as idéias que precisamos integrar no (090788-1)
projeto do circuito estavam disponíveis Artigo original: USB Magic Eye – January 2010

Notas sobre o software Controlador USB Internet


Software do microcontrolador AVR309.zip no site da Atmel
[1] www.cesko.host.sk/IgorPlugUSB/
Compilador: WINAVR AVR309.dll
IgorPlug-USB%20(AVR)_eng.htm
Código fonte: CPUshow.asm AVR309.inf
AVR309.sys [2] www.obdev.at/products/avrusb/index.
Arquivos hexadecimal: CPUshow.hex
Utilize estes arquivos durante a instalação html
Os arquivos estão disponíveis no site da
Elektor. do controlador USB. [3] www.recursion.jp/avrcdc
[4] www.btb-elektronik.de/en/index.html,
Programação do ATtiny2313: Software para PC
www.die-wuestens.de/eindex.htm,
; fuses: Compilador: Microsoft Visual C versão 6
www.conrad.com
; brownout a 1,8 V CPUshow.cpp
CPUshow.exe [5] http://en.literateprograms.org/
; cristal externo 65 ms arranque
Arquivos disponíveis no site da Elektor. CPU_usage_(C,_Windows_XP)
CKSEL=1111 SUT=11

20 04-2010 elektor
MEDIÇÃO E TESTE

Testador de nível
de audição para PC
Como está a sua audição?

Jan Breemer (Holanda)

Os leitores de música portáteis


(iPod, leitor de MP3, etc) estão
hoje em dia completamente
vulgarizados, e não há quem fique
sem eles. Contudo, são poucas as
pessoas que têm consciência dos
riscos para a audição associados
ao mau uso destes dispositivos.
O sistema apresentado neste
artigo permite-lhe fazer um
auto-diagnóstico informal
aos seus ouvidos.

O hardware e software aqui apresentados consegue ou não ouvir. dos finais são apresentados num gráfico, e
servem para um fácil teste do estado da O procedimento para medir o limiar de todo o processo pode ficar registrado num
sua audição. O sistema permite determinar audição é na realidade bastante simples. arquivo .log.
o seu limiar de audição e pode até ser utili- O sistema produz tons puros com várias Pode especificar no programa a frequência
zado para fazer testes A-B-X [1], destinados frequências (geradas pelo PC ou portátil) mínima e máxima, assim como o número de
a identificar diferenças de qualidade entre, e com várias amplitudes (determinadas frequências intermediárias. A variação na
por exemplo, um arquivo comprimido em pela caixa de atenuação). É utilizado um escala de frequências é logarítmica.
MP3 e o arquivo WAV original. O software LED para indicar que um tom está sendo
apresentado é suficientemente versátil reproduzido, e deve pressionar um botão Calibração
para permitir a implementação de novos ou outro conforme ouvir ou não o tom pro- Para que as suas medidas sejam exatas (den-
testes (que pode implementar por si pró- duzido. Desta forma, pode-se facilmente tro do possível), é necessário efetuar duas
prio) para que possa compreender melhor determinar qual é o menor volume de som calibrações. A primeira consiste em deter-
como funcionam os nossos ouvidos e o que que ainda se consegue ouvir. Os resulta- minar a relação entre o sinal digital enviado

O circuito aqui descrito destina-se somente a fins recreativos ou educacionais, e fornece apenas resultados aproxi-
mados. Se suspeita que tem algum problema de audição deve consultar um médico especialista.

elektor 04-2010 21
mEDIÇÃO E TESTE

Audição humana
Para medir sons e a sua reação aos mesmos, dois dos fatores mais num ambiente extremamente silencioso. As linhas onduladas
importantes são o nível de pressão sonora SPL (Sound Pressure mostram a pressão sonora (SPL) necessária para obter um certo
Level) e o volume (Loudness). O SPL é uma quantidade fisicamente volume em Phons ou Sones (o Sone é uma unidade mais antiga,
mensurável, que indica a densidade de potência acústica associada não logaritmica). Por exemplo, para um volume perceptível de 40
a certos sons. É normalmente representada em dB, onde 0 dB Phon a 1 kHz, precisa de uma pressão sonora de 40 dB. Contudo,

Pressão Velocidade Intensidade


SPL (dB) Notas
(Pascal) (m/s) (W/m2)
200 5 x 10-1 100 140 Tiro de espingarda a 1 m, acima do limiar da dor.
20 5 x 10-2 1 120 Possíveis danos auditivos com breve exposição.
2 5 x 10-3 10-2 100 Serra elétrica a 1 m de distância.
2 x 10-1 5 x 10-4 10-4 80 Auto-estrada a 10 m de distância.
2 x 10-2 5 x 10-5 10-6 60 Televisão a 1 m de distância.
2 x 10-3 5 x 10-6 10-8 40 Conversa normal a 1 m de distância.
2 x 10-4 5 x 10-7 10-10 20 Um quarto sossegado.
2 x 10-5 5 x 10-8 10-12 0 Limiar de audição humano.

corresponde a uma pressão sonora de 20 microPascal. Este é a 20 Hz precisa de mais de 90 dB para o volume perceptível ser
sensivelmente o menor volume de som que pode ser ouvido por idêntico.
um ouvido humano a 2-4 kHz (1 Pascal = 1 Newton/m2). Sempre que são diagnosticados problemas de audição, é dada uma
A relação entre o SPL e a pressão sonora efetiva em Pascal atenção especial ao limiar de audição. Um aumento deste limiar em
é exponencial. Existe também outro fator em jogo, que é a certas frequências é um bom indicador de perda de audição.
diferente velocidade a que as partículas de ar se movem devido Os médicos especializados prestam especial atenção à perda de
às diferenças de pressão. A densidade de potência acústica é audição na banda de frequências que é mais importante para
o produto desta pressão e desta velocidade. A velocidade é entender a fala humana, uma vez que essa é a que tem maiores
diretamente proporcional à pressão sonora efetiva, uma vez que consequências a nível social. Esta faixa de frequências fica entre
a relação entre elas, a impedância acústica, é constante para os 200 Hz e os 8000 Hz.
o ar à pressão atmosférica. A pressão e velocidades sonoras,
assim como o SPL, são sempre representados em unidades de 120

verdadeiro valor eficaz (RMS). 110

A tabela mostra como as unidades se relacionam entre si. 100


100

O volume sonoro ( Loudness ) é uma medida subjetiva da 90

intensidade sonora, que depende muito da frequência do som. A 80


80

relação entre o SPL e o volume em função da frequência é dada 70


SPL (dB)

pelos gráficos de Fletcher-Munson (consulte a Figura). Estes 60


60

gráficos foram compilados com base em testes a um elevado 50

número de indivíduos. Os gráficos mostram que à medida que 40


40

a frequência se afasta dos 1 kHz, a percepção subjetiva se desvia 30

significativamente. A sensibilidade deteriora-se rapidamente nas 20


20

frequências mais baixas, e ainda mais com reduzidos níveis de 10

volume. 0

A linha inferior das curvas Fletcher-Munson corresponde ao limiar 20 100 1000 5000 10000
de audição. Este é o mais baixo nível sonoro que pode ser ouvido f (Hz) 090351 - 56

para a placa de som e a tensão do sinal de para medir o sinal de entrada. O software A/D. Com base nessa mediação o programa
saída. A segunda passa por determinar a sen- tem um processo de calibração que deter- determina como fazer a placa de som gerar
sibilidade dos fones de ouvido utilizados. mina a relação entre os valores digitais e a um sinal com 1 VRMS.
A primeira calibração pode ser feita de tensão de saída. Durante este processo, a A calibração da sensibilidade dos fones de
forma automatizada. O microprocessa- placa de som gera um tom de 3 kHz com ouvido é mais complicada. Existem algumas
dor na caixa de atenuação tem um conver- uma determinada amplitude durante 1 s. O formas de o fazer:
sor A/D embutido que pode ser utilizado valor pico-a-pico é medido pelo conversor • O programa oferece a possibilidade de

22 04-2010 elektor
mEDIÇÃO E TESTE

+5V

C11

LED1 8
R9 R10 100n
1 6
FC LV

22k

11k
verde
IC4
R6 2 5
C4 C+ OUT C14
680R

MAX660
4 7
100u C– OSC 100u R25
16V 16V

11k
3

+5V

L1 R7 C13 C12
LED2
3 13 26
470R

12 4 13
VCC1 VCIO VCC2 100u 16V 100u 16V
4 6 geel VA+ VD+ VA–
3 espiras RESET 3V3OUT
15 10 R8 R14 1 14
PWREN SLEEP C5 ZCEN AOUTL J4
14 16 8

22k
680R

PWRCTL TXDEN MUTE


30 20 100u 16V IC3
AVCC DSR 11
5 23 AOUTR
RSTOUT RTS 3
R3 11
IC2 RXLED VDD
12 1 16 PGA2311PA
1k5

TXLED RST PTA0


19 2 15 2 R21
USB B R2 DCD BKGD
IC5 PTA1 CS 16
7 22 14 3 AINL 2k0 J3
27R USBDP CTS PTA2 SDATAI
J1 3 21 13 6
R1 FT232BM DTR PTA3 SCLK R24
2 8 18 7 9
27R USBDM RI MC9S08QG8 R18 SDATAO AINR 2k0
1 25 12
TXD RXD 680R
32 24 11 Q1 DGND AGNDL AGNDR
EECS RXD TXD R19
4 1 31 5 15 10
EESK TEST 680R R22 R23
2 29 Q2
EEDATA AGND 5 10 R20
PTB7 PTB2

1k

1k
GND1 XIN XOUT GND2 6 9 680R
PTB6 PTB3 Q3
9 27 28 17 7 8
X1 PTB5 PTB4

VSS
C1 C7 C2 C3 4

100n 100n 27p 6MHz 27p 3x BS170

+5V
+5V
J2
R15
R16
R17

+5V 1
C6 LED L 2
R5 R4
10k
10k
10k

LED M 3
2k2

100n
10k

LED R 4
8 R11 BT L 5
10k
3 4 R12 BT M 6
DI DO 10k
R13 BT R 7
IC1 10k
2 7 GND 8
C10

RJ45
C8
C9

SK PRE
93-C46BSM
1 6
CS PE
100n
100n
100n

5
090351 - 11

Figura 1. No esquema do circuito é possível reconhecer alguns componentes: o processador do kit SpYder, um conversor USB/
/Série FT232 e o PGA2311.

utilizar os detalhes da resposta em fre- de construir por si próprio. esquerdo e direito. E o controle da placa de
quência em dB/V para a calibração. O circuito do atenuador é bastante fácil de som através dos seus controladores, dada
• Quando se dispõe apenas da sensibili- entender (Figura 1). A atenuação propria- a diversidade de diferentes dispositivos (e
dade em dB/mW, resta confiar que a res- mente dita é feita pelo integrado PGA2311 controladores) disponíveis no mercado,
posta em frequência dos fones de ouvido fabricado pela Texas Intruments. Este é não seria tarefa fácil.
seja relativamente plana. Se utilizar este comandado por um microprocessador
valor, importa também obter a impedân- Freescale idêntico ao utilizado no projeto Esquema do circuito
cia dos fones de ouvido (para calcular SpYder na Elektor de Novembro de 2007. No centro do circuito pode-se encontrar o
estes valores, consulte [2]). Se utilizar os Para a comunicação com o PC é utilizado o microcontrolador, responsável por contro-
valores em dB/V, não é necessário o valor habitual FT232. A alimentação do circuito lar IC3, pelas comunicações com o PC atra-
da impedância. é obtida a partir da conexão USB. Importa vés de IC2, pela excitação dos LEDs e pela
observar que esta unidade de atenuação leitura dos valores dos botões de pressão.
Como funciona? foi pensada para garantir uma flexibilidade O sinal áudio original é aplicado na entrada
Neste projeto os tons são produzidos pela e alcance acrescidos. Além disso, ao reali- analógica do microprocessador através de
placa de som no interior do PC ou portátil. zar testes A-B-X com amostras de música C11 (necessário para a calibração). O des-
Os dois requisitos adicionais são um par de torna-se impraticável controlar o volume vio DC desta entrada é mantido a metade
fones de ouvido e uma sala em silêncio. A de forma precisa, especialmente se pre- da tensão de alimentação de 3,3 V através
caixa de atenuação é o único item que tem tender introduzir diferenças nos canais das resistências R9 e R10/R25. A resistência

23 elektor 04-2010 12-2009 elektor 23


mEDIÇÃO E TESTE

série R14 impede que a entrada do circuito


integrado seja sobrecarregada sempre que
o sinal de entrada exceda 3,3 VPP. Figura 2. A unidade de atenuação: o LED amarelo indica quando a conexão USB está
À direita do controlador podemos encon- ativa, o LED verde indica a presença da tensão de alimentação. Junto ao conector USB
trar o atenuador do sinal de áudio (IC3). está o conector RJ45 para a caixa dos botões de pressão, a entrada de sinal e a saída
Este tem um alcance de -95,5 dB a +31,5 para os fones de ouvido.
dB, com passos de 0,5 dB. O sinal de
entrada é atenuado à entrada em 10 dB tências de pull-up. de desacoplamento. L1, C1, C6 e C13 for-
(por R21 a R24), sendo que o alcance efe- A tensão de alimentação negativa neces- mam um filtro para impedir interferên-
tivo começa em -105 dB (e termina em sária para IC3 é gerada por IC4. Foram cias do interior e do exterior. D1 acende
+21,5 dB). também adicionados alguns capacitores quando a tensão de alimentação está pre-
IC2 controla as comunicações USB. Este
circuito integrado é um conversor USB/
/Série. Com um controlador adequado, o
programa no PC pode comunicar com o
atenuador como se este estivesse ligado
numa porta série. Os números de identi-
ficação USB VID e PID, assim como a res-
tante configuração USB são guardados
numa pequena EEPROM (IC1). R1 e R2
adaptam a impedância da conexão USB. R3
é uma resistência de pull-up que identifica
o tipo de dispositivo USB, para detecção
correta no PC. Neste caso, o circuito com-
porta-se como um dispositivo full speed,
compatível com
a norma USB 2.0.
R4 e R5 são resis-
tências de pull-up
para a conexão de
dados bidirecional
com a EEPROM.
Os LEDs na caixa
dos interruptores
são excitados por
três MOSFETs, T1
a T3. O tipo exato
não é particular-
m e n t e i m p o r-
tante. A corrente
nos LEDs é deter-
minada por R18 a
R20. Tente encontrar LEDs com uma maior
eficiência, e aumente o valor das resistên-
cias adequadamente. A conexão USB ape-
nas pode fornecer 100 mA em condições
normais.
Os sinais provenientes dos botões de pres-
são são filtrados através de R11 a R13 e C8 Figura 3. O programa mostra uma janela principal (System) e várias outras
a C10. R15 a R17 são utilizadas como resis- subjanelas em função do tipo de teste.

24 04-2010 elektor
sente, enquanto D2 acende sempre que há que isto funcione, precisa ter o controla-
comunicação com o PC. dor para esta porta COM virtual instalado
A construção não é particularmente difí- no seu PC. O controlador disponível em
cil. A maioria dos componentes utiliza- [5] foi completamente testado pelo autor
dos tem o formato convencional. Comece e funciona bem. Em teoria, qualquer placa
pelos componentes menores, neste caso de som é adequada. Ligue o atenuador à
com os circuitos integrados SMD, e ter- saída de linha (line output) ou à saída para
mine com os maiores. O mais complicado fones de ouvido. Não utilize a saída desti-
vai ser IC2. Uma boa técnica consiste em nada aos alto-falantes. Deve também desa-
colocar um pedaço de solda nos terminais tivar qualquer efeito especial da placa de
de soldadura, soldar o integrado, e em som. Isto significa desativar o multi-canal,
seguida remover toda a solda em excesso aumento de baixos, eco, efeitos de rever-
utilizando uma malha. beração, etc. O volume deve estar no seu
A placa de circuito impresso (que pode valor máximo. Desligue qualquer outro
ser obtida no site da Elektor 090351-1) programa que esteja utilizando, ou que
foi desenhada para que todos os compo- possa hipoteticamente utilizar a placa de
nentes estejam apenas numa face. Torna- som.
se assim mais fácil montar a placa numa Os fones de ouvido ideais são fechados e
caixa. Se tiver a certeza absoluta que a dis- cobrem totalmente as suas orelhas. Infeliz-
tância entre o PC e a sala nunca vai exce- mente, fones de ouvido fechados de boa
der os 5 metros de cabo USB, pode montar qualidade são fabricados sobretudo para o
os LEDs e os botões de pressão na mesma mercado profissional, e como tal têm um
caixa. Nesse caso o conector RJ45 já não é preço a altura. Fones de ouvido de baixa
necessário. Se precisar de mais do que 5 impedância (< 300 Ohm) não são adequa-
metros, então torna-se necessário montar dos para este projeto.
os botões de pressão e os LEDs numa caixa
separada, que é então ligada à caixa do ate- Funcionamento
nuador através de um cabo Cat5 (cabo de Vamos começar com um teste do limiar
rede) e uma interface RJ45-8. Tal como o de audição. Ligue a unidade de atenua-
cabo dos fones de ouvido, este cabo pode ção ao PC através do cabo USB, e ligue a
ter várias dezenas de metros sem proble- entrada do atenuador à saída line-out da
mas adicionais. placa de som (Figura 2). Se tudo correr
bem, o computador vai reconhecer uma
Software e hardware porta série USB. Consulte no Gerencia-
Tirando as comunicações série, o firmware dor de Dispositivos (Windows XP: Iniciar
para o microcontrolador HCS09 controla -> Configurações -> Painel de Controle->
também os LEDs e a amostragem dos Sistema -> Hardware ->Gerenciador de
botões de pressão. A programação do con- Dispositivos) o número da porta COM
trolador é fácil se utilizar a pen USB SpYder atribuído ao atenuador. Inicie então o
e o seu software de desenvolvimento [3]. programa (TestYourEars.exe) e selecione
O software para PC, que pode ser obtido a linguagem pretendida (Figura 3). Na
no site da Elektor, não precisa ser insta- janela principal indique o número da porta
lado. Extraia os arquivos para o seu com- COM determinado anteriormente, e sele-
putador e coloque-os numa pasta ade- cione a caixa de opção se quiser ver o pai-
quada, como C:\Programas\TesteAudição. nel de atenua­ção nas próximas execuções.
Precisa contudo ter instalado o ambiente Normalmente isto não é necessário. Em
de execução (Runtime) do Visual Basic 6 seguida indique se dispõe apenas de um
(pode ser obtido em [4]). O programa de número fixo para a calibração dos fones
teste do limiar de audição utiliza a porta de ouvido, ou se dispõe de um arquivo de
COM virtual através da porta USB. Para calibração. Depois, deixe o programa cali-

25 elektor 04-2010
mEDIÇÃO E TESTE

90

80

70

60

50

40

30 deixe o de ouvir?
— Frequências distantes; quão suave deve
20
ser um tom com uma frequência clara-
10 mente distinta para que já não o consiga
ouvir?
0
— Ruído; quão alto deve ser um tom para
20 40 60 100 200 400 600 1000 2000 4000 6000 10000
–10 que se consiga distinguir no meio do ruído
branco? O ruído pode também ser de espec-
090351 - 55
tro reduzido, cobrindo apenas uma oitava
Figura 4. O gráfico final dá um resultado claro do limiar de audição. A linha azul é o ou menos. Todos estes testes podem ser fei-
limiar de audição para ouvidos novos e saudáveis, medição efetuada num quarto tos apenas num ouvido, ou em ambos.
totalmente silencioso. O hardware pode também ser utilizado
para efetuar testes A-B-X em amostras de
brar um sinal de 1 VRMS. Na caixa Calibrate Os resultados são imediatamente apresen- música, por exemplo. Pode-se escrever
1 Vrms pode-se ver o valor de pico neces- tados num gráfico na tela. um programa que adiciona alguma distor-
sário para gerar um sinal de 1 VRMS à saída Uma vez que o teste tenha terminado, ção a amostras de música, e depois testar
da placa de som. Deve-se esperar um valor o LED vermelho pisca rapidamente e os quanta distorção passa despercebida com
entre 10000 e 32000. resultados podem ser analisados na tela. A cada tipo de música.
Escolha agora o teste de limiar de audi- linha verde representa o nível mais baixo Os detalhes da interface podem ser obtidos
ção (hearing test threshold). Se necessá- que ainda pode ser ouvido, e a linha ver- no site do autor [2]. Os arquivos da placa de
rio, altere as configurações na janela do melha o nível mais elevado que já não con- circuito impresso (formato Eagle, incluindo
hearing threshold, e clique em Begin para segue ser ouvido. Os resultados podem ser o esquema do circuito), assim como a lista
começar o teste. Em seguida, leve a caixa guardados como uma imagem no formato de componentes para o projeto podem ser
dos botões de pressão para uma área extre- bitmap, ou como um arquivo de texto com encontrados no site da Elektor.
mamente silenciosa (um grande guarda campos separados por vírgulas (.csv). Para (090351-1)
roupas cheio de roupa funciona bem), e imprimir o gráfico é sempre aconselhável Artigo original: Hearing Threshold Tester for PC
coloque os fones de ouvido. O LED ver- um fundo branco. O botão Show Threshold October 2010
melho (no meio) acende cada vez que um apresenta os limiares aceites de audição
tom é reproduzido nos fones de ouvido. Se humana. O botão Show Calibration mos-
não tiver a certeza de ter ouvido o som, ou tra a curva de calibração utilizada para os
se outro som exterior o perturbou, pode fones de ouvido, caso esta seja utilizada.
pressionar o botão do meio para repetir
o mesmo tom com o mesmo volume. Os Melhorias
LEDs esquerdo e direito indicam que deve Surgem naturalmente várias idéias para
pressionar um dos botões apropriados. O melhorar este programa. Pode-se em pri-
botão esquerdo é utilizado para informar meiro lugar determinar o limiar de audi-
que ouviu o tom. Se não for esse o caso, ção em função da frequência. Este é o cri-
deve pressionar o botão direito. O mesmo tério mais importante que se pretende
tom é em seguida repetido, mas com determinar se houve perda de audição
um volume mais elevado caso não tenha em resultado de noitadas em boates ou de
ouvido o anterior, ou com um volume mais
baixo se ouviu o tom anterior. Uma vez que
uso excessivo de leitores de música por-
táteis. Contudo, este hardware oferece
Referências
a diferença no volume se torne inferior à muito mais possibilidades. [1] http://en.wikipedia.org/wiki/ABX_test
configurada em Margin, o procedimento é Com alterações no software você pode [2] http://www.breem.nl/TyE
repetido do início para a próxima frequên- investigar efeitos de mascaramento, por [3] SpYder e CodeWarrior, Elektor N.º 68, No-
cia (valor superior). exemplo: vembro de 2007.
Desta forma o sistema determina intera- — Harmônicas puras; que porcentagem de [4] http://support.microsoft.com/
tivamente o volume mais baixo no qual distorção harmônica consegue detectar? kb/290887
consegue ouvir uma dada frequência, e em — Frequências adjacentes; quão baixo tem [5] http://www.ftdichip.com/
seguida parte para a frequência seguinte. de ser um tom adjacente a outro para que Drivers/VCP.htm

26 04-2010 elektor
Montagem

Teclado luminoso
para o Arduino
Extensão Monome 4x4 e baixo custo

Clemens Valens (Elektor)

A maior parte dos projetos publicados na Elektor resolve um dado problema ou satisfaz uma dada
necessidade. Embora os nossos projetistas procurem sempre conceber um circuito elegante e desenhar
uma placa de circuito impresso clara e bem organizada, o efeito estético do projeto em si, está presente,
assume habitualmente um lugar secundário. O projeto de código fonte aberto Monome, uma matriz
luminosa USB que também funciona como teclado, é uma exceção à regra. Foi desenhado para ter uma
aparência estética cativante, e neste caso é a aplicação prática que parece ter ficado para segundo plano.

Importa deixar claro de antemão que o ginal apenas é produzido em pequenas


Características projeto apresentado neste artigo não é quantidades, e os seus preços são elevados
especialmente atraente no que diz res- (espere valores na ordem dos 515 dólares
• Teclado 4×4 Monome para Arduino. peito à parte visual. Foi inspirado no pro- por um Monome básico), especialmente
• Circuito com código fonte aberto. jeto Monome [1], esse sim, de aspecto quando se tem em conta que eles não pas-
• 16 botões de pressão. irrepreensível. Mesmo que o design do sam de simples teclados USB que nem fun-
• 16 LEDs. Monome não lhe agrade muito, deve cionam com o MS Word.
reconhecer que o aspecto estético desse
• Controlador de LEDs TLC5940NT.
projeto é bastante forte. Basta você con- O objetivo deste artigo é possibilitar que
• Controle de brilho para LEDs sultar o site do projeto para comprovar. qualquer pessoa possa construir o seu
de 12 bits. O projeto original parece não fazer qual- próprio Monome por um custo irrisó-
quer questão de ser único. O Monome ori- rio, sobretudo quando comparado com

28 04-2010 elektor
o dispositivo original. Além disso, este
Monome pode ser utilizado para qual-
quer fim, na medida em que o software e
o hardware são completamente abertos,
e como tal pode modificar tudo. O brilho
16 x
de cada LED é ajustável com uma precisão
de 12 bits, graças ao circuito de excitação
USB
dos LEDs, e pode-se criar vários objetos ARDUINO PC
luminosos sofisticados.

Mas o que é afinal o Monome?


O Monome é um teclado USB especial. Em 4x4 SHIELD
primeiro lugar as teclas, dispostas numa
090527 - 11
matriz (normalmente quadrada, embora
não necessariamente), não têm funções
pré-definidas, e como tal são todas idênti-
cas. Além disso, cada tecla tem um indica- Figura 1. Diagrama de blocos para o nosso Monome.
dor luminoso associado, que a faz acender.

O Monome comunica com o computador tar. Essa mesma aplicação é também res- não funciona como um teclado convencio-
através de uma conexão USB. Quando se ponsável pela excitação individual das nal, nem pode ser utilizado para o substi-
carrega ou solta uma tecla, o Monome luzes do Monome. Portanto, o Monome tuir. Isto porque o protocolo de comunica-
envia as coordenadas da tecla e o seu não controla a sua própria iluminação, é ção utilizado pelo Monome é incompatível
estado. A aplicação responsável pela como um teclado e um mostrador mon- com os teclados normais. Existem vários
recepção das coordenadas das teclas no tados num mesmo suporte. protocolos Monome distintos, o que torna
computador decide como as interpre- Embora o Monome seja um teclado USB, tudo mais complicado. Alguns Monomes

Programação do chip FTDI integrado) e como pode-se ver são vários os parâmetros
de configuração. Se não for cuidadoso, corre o risco
O programa MProg dá-lhe acesso às configurações do circuito
de desativar a sua interface USB, sendo que não deve alterar
integrado FTDI na placa Arduino (ou em outra placa com este
nenhum parâmetro cuja função não entenda perfeitamente.
O programa MProg tem um temperamento um pouco
complicado. Por vezes é bastante difícil alternar entre o modo
de edição e o modo de programação. Parece que a abertura ou
gravação de um arquivo limpa este bloqueio. O procedimento
que se descreve na sequencia parece funcionar bem:
— Executar o MProg.
— Ligar a placa Arduino.
— Carregar em Tools->Read and Parse.
— Assinalar a opção Use Fixed Serial Number.
— I ntroduzir um número de série de 8 dígitos começando
por a40h, por exemplo a40h-001.
— Guardar a configuração: File -> Save As…
— Carregar em Device->Program.
Tudo parece congelar por alguns segundos, mas por fim
aparece a mensagem Programmed Serial Number: 040h-001.
O seu Arduino é agora compatível com o Monome!

elektor 04-2010 29
Montagem

26
S1 S2 S3 S4
5V
21
17
AN5 VCC LD1 LD2 LD3 LD4
18 27 28
AN4 3V3 OUT0
19 19 1
AN3 DCPROG OUT1
S5 S6 S7 S8 20 2
AN2 OUT2
21 27 3
AN1 VPROG OUT3
23 22 24
VIN AN0 XLAT LD5 LD6 LD7 LD8
28
IC2
16 4
RESET XERR OUT4
5
S9 S10 S11 S12 OUT5
ARDUINO 6
9 18 OUT6
D7 GSCLK 7
1 10 23 OUT7
AREF D6/PWM BLANK
2 11 LD9 LD10 LD11 LD12
AGND D5/PWM 8
3 12 26 OUT8
S13 S14 S15 S16 D13 D4 SIN 9
4 13 17 OUT9
D12 D3/PWM SOUT 10
14 25 OUT10
D2 SCLK 11
15 OUT11
D1/TX
16 TLC5940NT LD13 LD14 LD15 LD16
D0/RX 12
8 OUT12
D8 20 13
7 IREF OUT13
D9/PWM 14
6 OUT14
D10/PWM R1 15
5 C1 OUT15
D11/PWM GND

3k3
GND1 GND2 22
24 25 100n

090527 - 12

Figura 2. Esquema do circuito do Monome. A placa Arduino é baseada num ATmega8 ou ATmega168, uma vez que a
funcionalidade PWM não é usada.

ver como minimalista. O seu nome refere- 48 dólares. Além disso, o projeto pode ser
se à matriz monominal, uma matriz qua- utilizado para outros fins, uma vez que
drada que contém apenas uns e zeros, se trata apenas de um simples teclado/
com apenas um único um em cada linha mostrador.
LED ou coluna. A empresa tem também preo­
cupações ambientais, sendo os seus Passar à ação
produtos fabricados com um impacto Para este projeto optou-se pela placa
ambiental mínimo. Arduino [2][3], que é barata e fácil de
Interruptor
programar, mas pode-se optar por uma
Quero um! placa controladora diferente, se preferir.
090527 - 13 Uma vez que tenha compreendido o con- O único aspecto crucial é a interface USB,
ceito do Monome, torna-se muito fácil que tem obrigatoriamente de ser uma
Figura 3. Como construir um LED tátil construir a sua própria versão. Tudo o que variante do chip FT232R da FTDI, por-
utilizando um LED, um interruptor
precisamos fazer é juntar um bom número que de outra forma o software de comu-
de pressão e alguma paciência.
de interruptores de pressão a um pequeno
microcontrolador, e a tarefa fica conclu-
incluem um acelerômetro, e o protocolo ída. Contudo, é aqui que se percebe que
de comunicação permite o envio de valo- os interruptores com indicadores embu-
res analógicos para o computador. tidos não são particularmente baratos, e
De fato, Monome é o nome da empresa que um pequeno Monome 10h precisa de
que desenvolveu os teclados originais, pelo menos 16. O Monome oficial utiliza
aos quais deu nomes como 40h (8×8), teclados de silicone transparente molda-
duzentos e cinquenta e seis (16×16) – two dos termicamente. Infelizmente, este
fifty six, cento e vinte e oito (16×8) – one tipo de teclado barato não está disponí-
twenty eight – ou sessenta e quatro (8×8) vel para amadores, que necessitam utilizar
– sixty four. Portanto, o nome indica o os botões de pressão iluminados conven-
número de teclas. 40h é o valor hexadeci- cionais, a 4 dólares cada. Daí o interesse
mal para 64, sendo que, seguindo a mesma deste projeto, uma vez que vamos mos-
lógica, o Monome descrito neste artigo é trar como pode-se fazer os seus próprios
designado por 10h, uma vez que é baseado botões de pressão iluminados por menos
no 40h mas com apenas 16 teclas. de um dólar cada. Se for habilidoso e com
aptidões para a bricolagem, assim como
Para os nossos leitores mais curiosos, um comprador experiente, é possível
Monome é uma empresa que gosta de se construir um Monome 10h por menos de Figura 4. Construção do protótipo.

30 04-2010 elektor
Lista de componentes
Resistências:
R1= 3,3 kΩ
Capacitores:
C1= 100 nF
nicação não vai conseguir detectar o seu Semicondutores:
Monome. Uma vez que o nosso Monome D1a D16= LED, diâmetro 10 mm
utiliza o Arduino, pertence à família dos IC1= TLC5940NT
Arduinomes. Esta não é uma distinção Diversos:
fútil, uma vez que é necessário software S1a S16= Botão de pressão miniatura,
especial. 6×6 mm, Omron refª B3W-1000.
Na Figura 1 podemos visualizar o dia- 2 blocos de pinos de 6 vias SIL com
espaçamento de 2,54 mm entre
grama de blocos do projeto e na Figura 2 pinos.
o circuito. Como pode ver, este é bastante 2 blocos de pinos de 8 vias SIL com
simples, graças ao Arduino e ao circuito espaçamento de 2,54 mm
integrado de excitação de LEDs (IC1). entre pinos.
Este integrado contém 16 fontes de cor- PCI (Ref.ª 090527-1).
Placa Arduino.
rente para excitar 16 LEDs. Cada saída é
controlada por um sinal modulado por
largura de pulso (PWM) com uma resolu-
ção de 12 bits, permitindo o controle indi- Figura 5. Face dos componentes na placa de circuito impresso.
vidual de brilho por LED em 4096 níveis.
Este dispositivo tem uma interface série
especial, e a explicação dada no datasheet têm que ser dobrados de forma a obter projeto (disponível no site da Elektor).
não é inteiramente clara, e requer ape- uma espécie de atenuador de choques. Mantendo a filosofia de projeto aberto,
nas 6 pinos do controlador. Descrito de O botão é então encaixado no interior pode encontrar o arquivo completo em
forma sucinta, a interface é dividida em da curvatura dos pinos, e o conjunto LED formato Eagle (circuito e placa) do projeto
duas partes, uma para a transferência de mais botão é encaixado na placa. no respectivo site, para que possa utilizar
dados, e outra para o refrescamento dos No que diz respeito aos LEDs, e antes de ou modificar conforme necessário. Tenha
LEDs. Esta interface pode ser otimizada começar a construir as teclas, verifique cuidado para não passar pistas por baixo
combinando alguns do sinais, mas essa que todos os LEDs têm o mesmo brilho dos botões de pressão no lado dos com-
opção não foi aqui seguida. Se está pen- para uma dada corrente. Esta caracterís- ponentes, uma vez que este espaço já é
sando fazer experiências com este dispo- tica pode variar de um LED para outro, ocupado pelos pinos dos LEDs.
sitivo, importa saber que este lhe permite especialmente com dispositivos de mais Comece por encaixar R1, C1 e IC1. Depois
ajustar a corrente individual de cada saída, baixo custo. Não salte este passo, porque encaixe as teclas, começando pelo centro
uma opção interessante mas que o pode assim que as teclas tenham sido encaixa- da matriz. Mantenha os botões de pres-
fazer perder muito tempo. Se não conse- das, não é fácil removê-las novamente. são na horizontal com cuidado, e tente
guir que um dos LEDs volte a acender, ou manter um pequeno espaço entre os ter-
se os níveis de brilho não forem idênticos Construção minais do LED e a placa, para melhorar o
entre todos, pode ter inadvertidamente Na Figura 5 pode-se consultar a placa de movimento vertical das teclas. Esta tarefa
mudado os parâmetros de uma ou mais circuito impresso desenhada para este requer alguma paciência e precisão para
saídas. Neste caso, desligue a alimentação
para reiniciar o dispositivo, uma vez que
um simples reset não é suficiente.
R1 permite limitar a corrente máxima em PC
todas as saídas, sendo que pode alterar o
seu valor para aumentar ou diminuir o bri- Arduinome Serial
lho total do Monome. 16 x
monome App.
monome USB 40 h prot.
E as teclas? 10 h
Vamos construir as teclas do Monome (4 x 4) MIDI / ex. MAX/MSP
utilizando LEDs de 10 mm e botões de OSC
pressão miniatura (Figuras 3 e 4). A idéia
passa por utilizar os LEDs para pressio-
nar os botões de pressão. Os LEDs são 090527 - 14

suficientemente grandes para esconder


os botões de pressão e, vendo de cima, Figura 6. O programa Arduinome Serial traduz a informação enviada pelo Monome
apenas os LEDs são visíveis. Para obter para MIDI ou Open Sound Control (OSC). As mensagens traduzidas são enviadas
botões de ação vertical, os pinos dos LEDs para a aplicação Max/MSP através da rede interna do computador.

elektor 04-2010 31
Montagem

Max, Pure Data, jMax


Max/MSP é um dos programas de música mais utilizados por amadores e profissio-
nais. Permite-lhe sintetizar e analisar sons, gravá-los e controlar instrumentos MIDI.
De seu nome original Patcher, o Max foi inventado e desenvolvido por Miller Puckette
no IRCAM a meio da década de 80. A primeira versão comercial foi distribuída pela
Opcome System, em 1990, e a Cycling ´74 [8] assumiu o seu desenvolvimento desde
1999. Em 1996, Miller Puckette, que nessa altura trabalhava na universidade de San
Diego, criou uma versão gratuita chamada Pure Data, enquanto a IRCAM desenvol-
veu uma versão gratuita chamada jMax (em Java), com uma interface gráfica. Existe
uma comunidade de utilizadores e programadores centrada à volta do Max/MSP, uma
colaboração que consiste sobretudo na troca de patches e objetos external, e com
sugestões para a melhoria do software [fonte Wikipedia].

Aqui está o aspecto de um patch PureData para separar, modificar e sintetizar


Figura 7. O Arduinome Serial detecta um sinal de áudio utilizando wavelets. Os retângulos na parte do programa
o Monome automaticamente, são objetos que contém programas contidos, as linhas entre os retângulos
e os parâmetros originais são correspondem ao fluxo de dados.
suficientemente para testar.
Existem outras linguagens de programação para música, embora sem uma interface
gráfica apelativa, como por exemplo, a Csound (www.csounds.com) e a ChucK (http://
chuck.cs.princeton.edu/).
Pure Data: http://puredata.info/
jMax: http://freesoftware.ircam.fr/rubrique.php3?id_rubrique=2

se alcançar um resultado satisfatório. primeiro lugar vai observar que todos os


Termine as conexões com o bloco de pinos LEDs acendem brevemente, como um
que deve ser fixado no lado da soldagem. flash. O programa entra de seguida num
ciclo onde aumenta progressivamente o
Testes iniciais brilho dos LEDs, de zero até um determi-
Para que o Monome funcione o microcon- nado limite (que não é o máximo valor de
trolador e a interface USB têm de ser pre- brilho), e depois reinicia o processo. Este
viamente programados! O microcontrola- ciclo dura aproximadamente 40 segun-
dor é programado como um Arduino, uma dos. Se pressionar um LED, o brilho desse
vez que se trata de um destes dispositivos, LED em particular é posto a zero e reco-
e como tal pode recorrer ao ambiente de meça o seu ciclo. Desta forma pode-se
desenvolvimento Arduino [3]. Mas se pre- criar algumas sequências hipnóticas. O
ferir pode programar o arquivo .hex dire- Monome termina o modo de demonstra-
tamente na memória flash do dispositivo. ção ao receber o primeiro comando. De
Pode encontrar o código fonte e o arquivo momento o seu circuito ainda não é um
.hex no site da Elektor. Monome real, dado que não lhe é possí-
Se programar o seu circuito com o soft- vel processar comandos Monome… sendo
ware disponível na página do artigo, o que vamos prepará-lo para receber estes
Figura 8. O script Monome_test para seu Monome tem agora um modo de comandos.
o Max/MSP permite-lhe verificar demonstração que lhe permite testar se
que o Monome está funcionando a placa está funcionando corretamente. Conforme explicado anteriormente, o
corretamente. Reinicie o circuito e observe os LEDs. Em dispositivo FTDI também precisa ser pro-

32 04-2010 elektor
gramado. Nunca pode ter sido percebido, alguns patches para o Max/MSP que lhe arquivo e clique no botão focus prefix na
mas este pequeno dispositivo também é permitem verificar se o Monome está está janela Monome_midi_64 para alterar o
programável com recurso a uma pequena funcionando corretamente. Faça o down- Arduinome Serial Address Pattern Pre-
memória EEPROM. Para tal, a FTDI dispo- load do Monome base do site da Elektor e fix para </Midi>. Se carregar num LED vai
nibiliza a ferramenta MProg [4]. Este é um descompacte-o para o disco rígido do seu ouvir um som, pode assim tocar uma melo-
passo necessário para que o Monome fun- computador. dia. Pode alterar o som (por omissão um
cione com o controlador fornecido para o piano) e as notas movendo e pressionando
seu computador. Num esforço para tor- Teste final o mouse na matriz.
nar a utilização do Monome o mais fácil Ligue o Monome ao seu computador atra-
possível, os programadores que desen- vés de um cabo USB e execute o Ardui- Provavelmente já notou que as caixas na
volveram os controladores optaram pela nome Serial. Se tudo correr bem, o soft- matriz keypads que se acendem quando
detecção automática do Monome através ware vai detectar o Monome e apresentar pressiona os LEDs estão por vezes no meio
do processo de detecção de dispositivos o número de série que programou no dis- da matriz, e a orientação não é a mesma
USB. Não é possível instalar o dispositivo positivo (Figura 7). Não há necessidade de que no Monome. Isto deve-se ao software
manualmente, o que é uma pena. alterar os parâmetros para os nossos tes- Monome, que não segue totalmente a
Para o nosso circuito ser reconhecido como tes, os valores por omissão funcionam. convenção. O Arduinome Serial tem uma
um Monome, deve ter um número de série Execute agora o Max/MXP e carregue caixa Cable Orientation que lhe permite
no formato a40h-xxx (a parte marcada o patch Monome_test.mxb, da pasta escolher entre up, down, left ou right
como xxx é livre). Nós adotamos a40h-001. Monome_base (ignore a mensagem que (cima, baixo, esquerda ou direita), con-
Consulte a seção para os detalhes sobre a aparece sobre matrixctrl). Vai aparecer sulte a Figura 6. Esta caixa é utilizada para
programação do chip FTDI. também uma segunda janela com duas orientar o Monome em relação ao seu
matrizes (Figura 8), uma para as teclas cabo USB. Assim, se tiver o Monome na
No lado do computador… (keypads), e outra para os LEDs (lights). sua mão com o cabo USB para baixo, deve
Para terminar o nosso Monome, ou pelo Carregue no botão /sys/prefix/test e verifi- selecionar a opção down. Fica a seu cargo
menos os testes operacionais, devem ser que que o Arduino Serial apresenta agora modificar o simples software Monome
instalados mais dois programas no com- /test na caixa Address Pattern Prefix. Se para ajustar tudo corretamente, uma vez
putador (Figura 6). O primeiro é o Ardui- for esse o caso, a comunicação entre os que as opções providenciadas pelo Ardui-
nome Serial [5], utilizado para traduzir o dois programas está funcionando. nome Serial não são suficientes. A partir
protocolo de comunicação Monome em Em seguida, na janela Monome_test, car- de agora, todo o resto fica a cargo da sua
MIDI (Musical Instrument Digital Inter- regue no botão junto à palavra pairing, imaginação…
face) [6], uma linguagem dos anos 80 uti- mesmo abaixo da matriz keypads, e sele- (090527-1)
lizada sobretudo para sintetizadores, ou cione press. Se carregar alguns keypads na
em OSC (Open Sound Control) [7], uma matriz, a caixa correspondente na matriz Artigo original: Touch LEDs for Arduino – October 2009
linguagem mais recente e poderosa, e lights muda de cor. Reinicie o Monome.
mais flexível do que a MIDI. O Arduinome Isto é necessário porque por alguma razão
Serial deve ser utilizado para Monomes desconhecida a detecção automática do
baseados no Arduino ou, para ser mais Monome pelo Arduinome Serial faz a liga-
preciso, Monomes que utilizem o chip ção série bloquear. Carregue nos LEDs e va
Internet
FT232R para o sua interface USB (para ver as caixas correspondentes na matriz
outros dispositivos, existe o Monome keypads acender. Se tiver selecionado press, [1] www.monome.org
Serial). os LEDs vão também acender. Se soltar um [2] www.arduino.cc
O segundo programa a instalar é o Max/ LED, este vai apagar-se. Se tiver selecio-
[3] Microcontroladores para iniciados,
MSP [8] (ver seção correspondente). Este nado toggle, da primeira vez que pressio-
software é um ambiente de programação nar o LED este acende-se, e da segunda ele [4] www.ftdichip.com/Resources/
gráfico para música, áudio e multimidia apaga-se. Pode também utilizar o mouse Utilities.htm
bastante poderoso, que é utilizado para para clicar na matriz lights e comandar os [5] www.sourceforge.net/project/showfiles.
desenvolver ferramentas multimidia, cha- LEDs diretamente, sem pressionar qual-
php?group_id=235473
madas neste contexto de patches. A parte quer tecla. Tudo está funcionando, e o seu
&package_id=285957
do ambiente que executa as patches (o Monome está finalmente operacional!
runtime) é gratuita, e pode ser obtida para [6] www.midi.org/
computadores Windows ou Mac. Sendo que Conclusão… [7] www.opensoundcontrol.org/
basta baixar e instalar o runtime Max/MSP. Se o seu computador tiver uma placa
[8] www.cycling74.com
de som, o patch Monome_midi_64.mxb
No site da Monome podemos encontrar permite um teste mais divertido. Abra o [9] www.Monome.org/data/app/base

elektor 04-2010 33
DOMÉSTICO

Padrões para domótica


O caminho traçado ou torre de Babel?

Jens Nickel (Elektor)

O controle automático e centralizado


da iluminação, aquecimento e outros
sistemas domésticos, além de aumentar
o conforto, ajuda também a reduzir
as emissões de carbono.
Existem atualmente muitos sistemas
no mercado. Neste artigo vamos falar
das vantagens e desvantagens
dos mais importantes.

Figura 1. Interface de utilizador para domótica: todo


o controle é efetuado a partir de uma única
unidade. O estado de cada ponto do sistema
pode ser apresentado
(Foto: sistema Z-Wave da Merten, Constantin Meyer, Colónia).

A domótica oferece um número considerável de benefícios. O ambiente, o movimento, a temperatura e a umidade. Os senso-
controle da iluminação, de cortinas, aquecimento, ar-condicio- res Reed detectam se uma porta ou janela se encontra aberta
nado e ventilação pode ser centralizado numa unidade de contro- ou fechada. No caso de se pretender controlar toldos exterio-
le central que executa uma sequência de acordo com a horário res, então é também conveniente adotar o sistema de uma esta-
e com os dados fornecidos por vários sensores. Baixar automati- ção meteorológica capaz de medir a velocidade do vento. Se os
camente as cortinas quando uma sala se torna quente devido à contadores de energia (gás e eletricidade) e água possuírem
exposição solar, ou acender as luzes quando alguém entra numa interfaces S0 ou M-bus, então também podem ser conectados ao
sala transforma a casa num lugar mais confortável. Mais inte- sistema. Outros contadores podem também ser conectados, se
ressante ainda é que ao fazê-lo estamos também contribuindo puderem ser medidos através de um sistema óptico. Na lista de
para a diminuição das emissões de carbono, nocivas para o meio sensores podemos ainda incluir um leitor de etiquetas RFID para
ambiente, ao monitorar e reduzir inteligentemente o consumo permitir, por exemplo, o acesso de determinadas pessoas. Não
de energia da habitação. Existem também outras funcionalida- podem faltar nessa lista os interruptores e reguladores para que
des, como a melhoria da segurança, oferecidas por alguns dos o utilizador possa controlar localmente as luzes e a temperatura.
sistemas que vamos descrever. Um sistema de domótica só se torna verdadeiramente flexível se
os interruptores “convencionais” estiverem incluídos (Figura 1).
Componentes do sistema Estes interruptores podem ser programados para controlar um
Um sistema de domótica é composto, essencialmente, por ou vários pontos de luz. Os atuadores são conectados às saídas
quatro componentes: sensores, atuadores, unidade central de do sistema de controle e incluem relés, reguladores de intensida-
controle e um meio de interconexão entre estes. Alguns sensores de luminosa e velocidade de ventoinhas, eletroválvulas (Figura
medem grandezas físicas, como a intensidade luminosa, o ruído 2), controladores de motores para cortinas e toldos (Figura 3).

34 04-2010 elektor
Os benefícios da domótica
• Flexibilidade; atribuição de qualquer interruptor a qualquer inteligente.
lâmpada. • Eventos comutados de forma aleatória (simulação de ocupação
• Seleção de configurações de iluminação pré-programadas. de uma casa).
• Controle on/off automático de iluminação (economia de energia/ • Integração simples de um alarme de intrusão usando detecção
segurança). de movimento e sensores de quebra de vidros.
• Controle de iluminação e aquecimento através de um controle • Identificação de ocupantes usando etiquetas RFID para controle de
remoto manual. acesso. Monitoração do consumo de energia devido
• Controle automático para temperatura de uma sala de acordo ao aquecimento.
com a hora do dia e ocupação do espaço. • Medidor inteligente através de monitoração da energia consumida.
• Ajuste do sensor de temperatura quando uma janela é aberta. • Controle remoto do sistema através da Internet/Intranet
• Controle de aquecimento, ventilação e fechameto de janelas usando gateways TCP/IP.

Figura 2. As eletroválvulas motoradas Figura 3. Um toldo motorizado Figura 4. A unidade central de controle
para radiadores podem ajudar a poupar controlado sem fios é o coração do sistema de domótica.
energia. O sistema de controle reduz o (Foto: sistema HomeMatic da ELV). Este consiste num sistema sem fios ligado
aquecimento quando uma janela é aberta a um PC (Foto: sistema HomeMatic da ELV).
(Foto: sistema HomeMatic da ELV).

A unidade de controle central é o coração de todo o sistema. Os coaxiais ou fibras ópticas. Alguns sistemas enviam a informa-
sensores são conectados às suas entradas e todos os atuadores ção através de sinais modulados na rede elétrica de 230 V PLC
às saídas (Figura 4). É possível, por exemplo, separar a casa em (Power Line Communication). Este último método possibilita a
diversas zonas para que sejam controladas independentemen- utilização da rede elétrica já instalada, permitindo uma monta-
te, apesar dos benefícios da domótica serem maiores quando gem mais econômica, todavia é mais sensível a interferências
todas as divisões se encontram interconectadas. O programa provocadas pelo ligar e desligar de outros aparelhos elétricos.
de controle é executado na unidade central. O utilizador pode Além disso, obriga que todos os componentes (sensores, atua-
interagir com o programa através de uma tela tátil, para alterar dores e unidade de controle) estejam conectados à rede elétri-
parâmetros e ações pré-programadas. A unidade central possui ca. Em construções novas devem utilizar-se pares de cobre tran-
muitas vezes uma interface (Ethernet ou USB) para poder ser çados. Uma das vantagens deste método é que a conexão à
conectada a um PC, equipado com um programa adequado para rede elétrica só precisa de ser feita nos atuadores. Os sensores
domótica. Tipicamente existe também a possibilidade de se utili- podem ser conectados diretamente ao sistema. Outra vanta-
zar um terminal portátil, como um PDA, para interagir com o gem é a elevada robustez e imunidade a interferências. Tipica-
sistema (Figura 5). mente, os vários componentes podem partilhar o mesmo cabo
e este pode ter o comprimento que se queira. Para a montagem
Conexões de sistemas de domótica em construções já existentes é mais
As informações provenientes dos sensores e as ordens de contro- prático optar por sistemas de rádio ou PLC. Ambos são fáceis de
le para os atuadores podem ser transferidas por fio ou por sinais instalar e de expandir. Esta última característica é praticamente
de rádio. Um dos métodos mais comuns é a utilização de pares comum a todos os sistemas, permitindo que se comece apenas
de cobre trançados. Menos comum é a utilização de cabos com um sistema pequeno, sem central de controle, expandindo-

elektor 04-2010 35
DOMÉSTICO

res, ainda não são comuns. Os sinais de controle enviados pela


rede elétrica, nos sistemas PLC, podem por vezes gerar interfe-
rências não sendo muito populares. Uma aplicação interessante
para sistemas PLC consiste na monitoração da energia consumi-
da por vários aparelhos. Em todos estes sistemas é importante
que cada atuador responda apenas a comandos especificamente
enviados para si. Todos têm de estar individualmente identifica-
dos na unidade de controle. Este é apenas um dos requisitos mais
básicos para um sistema de domótica. Para aumentar a confia-
bilidade, é importante incluir métodos de controle das comu-
nicações entre a central e o restante dos componentes, espe-
cialmente se for utilizado um método de transmissão sensível
a interferências.
Figura 5. Um controle remoto manual pode ser conveniente.
Um toque no botão permite reconfigurar toda a iluminação de Normas
acordo com um ambiente pré-determinado A comunicação entre a central de controle e os sensores/atua-
(Foto: controle remoto Z-Wave via RF da Düwi). dores consiste na troca de sinais digitais e na estrutura de cama-
das associada. A camada inferior é a que define as proprieda-
des físicas, ou seja, os níveis de tensão, a frequência utilizada,
-o depois na medida das possibilidades. Os sistemas via rádio são os métodos de modulação e de codificação dos bits. A camada
muito fáceis de instalar, mas esta flexibilidade tem um inconve- intermediária define os métodos para o cálculo das somas de
niente. Enquanto que as ligações por fio permitem também a verificação, criptografia de dados e endereçamento, para garan-
alimentação dos sensores e atuadores, nos sistemas por rádio tir que os dados cheguem corretamente ao destino. A camada
esta alimentação tem de ser fornecida por transformadores, superior, de aplicação, lida com os aspectos da interação, inter-
baterias ou outras fontes de alimentação (Figura 6). A utilização pretação das entradas de controle e informação sobre o esta-
de alimentação através de painéis solares ou através de movi- do do sistema. Para que vários componentes possam funcionar
mentos mecânicos, aproveitando o movimento dos interrupto- em conjunto, é necessário que todas as camadas se encontrem
bem definidas. Existem vários padrões. Alguns, como o ZigBee,
apenas especificam os protocolos para a camada física e interme-
diária. Outros, como o KNX, apenas definem as camadas supe-
riores, dando contudo algumas sugestões para a construção da
camada física, dependendo do meio utilizado. Na prática, isto
dá origem a diferentes variantes do padrão de partida, como por
exemplo a KNX-RF.

EIB/KNX
As redes por fio são as mais utilizadas em edifícios em constru-
ção. Um dos padrões mais antigos para as redes de domótica é o
EIB (European Installation Bus). Já existe há mais de 20 anos, mas
nunca atingiu um grau de aceitação verdadeiramente universal.
Entretanto, surgiu o padrão KNX, baseado no EIB e compatível
com este [1]. Numa implementação com fios o barramento EIB/
KNX interconecta os vários nós com um par de cabos trança-
dos, que além dos dados fornecem também uma alimentação
de 30 VDC. Surgiram também versões desta norma utilizando
ligações via rádio e PLC, destinando-se a aplicações onde não seja
possível a montagem de fios, como por exemplo, em edifícios
mais antigos. O padrão EIB/KNX é aberto, podendo os seus deta-
lhes ser encontrados em fontes como o Wikipedia [2]. Existem
vários componentes para este padrão (Figura 7), a maior parte
Figura 6. As redes sem fios são mais flexíveis, possibilitando relativamente dispendiosos. Outro padrão que não especifica o
a colocação de sensores e atuadores em qualquer local. canal de comunicação é o LON (Local Operating Network) [3].
A desvantagem é a necessidade de baterias em cada um dos nós Este protocolo foi desenvolvido pela Echelon Corporation, que
(Foto: interruptor Z-Wave da Düwi). fornece placas de desenvolvimento e ferramentas para a inte-

36 12-2009 elektor
[ tracoleal.com.br ]
Figura 7. Sistema de relés com quatro canais e barramento KNX/EIB (Foto:
Merten GmbH).

gração do protocolo em equipamentos. O protocolo LON foi muito utilizado em


edifícios de escritórios. Existe uma alternativa tecnicamente muito interessante. Comunicações
O LCN (Local Control Network [4]), igualmente popular em edifícios de escritórios,
utiliza os fios da rede elétrica (terra, fase e neutro), e também um fio de corrente
Módulo SCO-0601
contínua de baixa tensão para a troca de dados entre os componentes do sistema.
Quando os componentes são conectados ao sistema, identificam-se e criam uma O módulo foi desenvolvido para estudos
rede local. Cada nó da rede atua como um agente de distribuição de informação.
dos princípios de comunicações analógi-
Ethernet cas e digitais, facilitando a compreensão
As redes Ethernet possuem um lugar especial na Domótica. O termo Ethernet dos assuntos relacionados com as dis-
descreve, na realidade, a camada mais baixa do protocolo, sendo por isso possível
ciplinas de telecomunicações. Estuda os
enviar dados KNX através desta. O protocolo TCP/IP utilizado nas comunicações
para a Internet pode, em princípio, ser também utilizado para construir uma rede tipos de formas de ondas envolvidas nos
de domótica, mas implica que cada componente possua um cabo de rede. Ou seja, processos de modulação e demodulação,
todos os interruptores, luminárias, etc, teriam de ser conectados a uma central
multiplexação, códigos de linha, entre
através de um cabo de rede. Além disso, obriga também à implementação de uma
pilha TCP/IP em cada microcontrolador dos componentes. Tipicamente, as centrais outros, bem como suas características e
de controle para domótica possuem apenas uma interface Ethernet, para possibi- propriedades fundamentais. Visite-nos na
litar a conexão do sistema à Internet. internet e conheça as soluções DATAPOOL
Z-Wave
mais adequadas para sua necessidade.
Entre os sistemas de domótica sem fios, o que parece ser mais utilizado é o Z-Wave.
Foi desenvolvido por uma empresa Holandesa chamada Zensys, adquirida recente- OUTROS MÓDULOS PARA COMUNICAÇÕES
mente por uma empresa americana chamada Sigma Designs. Atualmente existem Módulo 8801
mais de 160 fabricantes com interesses no Z-Wave [5]. Na Europa o sistema opera
na banda ISM de 868 MHz. Cada nó do sistema é utilizado inteligentemente para
a retransmissão de dados. A comunicação entre os nós A e D, por exemplo, pode
passar por outros nós, se A e D não estiverem ao alcance um do outro. Deste modo,
consegue-se montar uma rede numa vasta área, apesar de cada nó possuir uma
potência radiada limitada. A proteção contra interferências é eficiente. O Z-Wave
possui características semelhantes ao ZigBee, que é muitas vezes visto como um
protocolo concorrente. Contudo, o Z-Wave foi desenhado especificamente para
domótica, sendo incapaz, por exemplo, de transferir sinais de áudio e outros dados.
A taxa de comunicação especificada é de 9,6 kbit/s e 40 kbit/s, adequada para
sistemas de domótica. A gama de produtos existentes para este protocolo (Figu-
ras 1, 5, 6 e 8) não é muito extensa, especialmente se for comparada ao protocolo
KNX. Existem poucas empresas que comercializam produtos como interruptores,
válvulas e outros para este protocolo. A Merten [6] desenvolveu o sistema Connect,
para utilização com o Z-Wave, mas pretende em breve fornecer também equipa-

elektor 04-2010 www.datapool.com.br


DOMÉSTICO

Custos típicos de um sistema deste tipo


Sistema wireless HomeMatic da ELV (Alemanha), custo aproximado:

Interface com comutador (16 A) 68,00 dólares.

Interface de controle de luminosidade (25 a 250 VA) 75,00 dólares.

Válvula atuadora para radiador 48,00 dólares.

Interruptor de duas posições 48,00 dólares.

Controle remoto por RF (12 botões) 48,00 dólares.

Sensor de temperatura/humidade 35,00 dólares.

Unidade central de controle com conexão a PC 408,00 dólares.

Unidade central, 2 controles remotos, 8 eletroválvulas para radiadores, 8 tomadas com


regulação de luminosidade, 8 tomadas comutadas, 16 interruptores de duas posições,
6 sensores de temperatura: 3.535,00 dólares (aproximadamente).

mentos para o protocolo KNX, utilizando cabos de par trançados outros, mais simples, funcionando nas bandas de 434 MHz e 868
e um gateway que possibilite a interconexão entre sistemas com MHz. Os sistemas de domótica mais simples não necessitam de
e sem fios. grandes velocidades de troca de dados, nem de protocolos sofisti-
cados para a detecção de colisão e envio de pacotes. Em vez disso,
ZigBee a confiabilidade das comunicações é conseguida à custa da repeti-
O padrão Zigbee [7] especifica as bandas ISM de 2,4 GHz e ção do envio dos comandos. O sistema HomeMatic (Figuras 2, 3
868 MHz, para a comunicação via rádio, com velocidades até e 4), desenvolvido pela empresa alemã ELV, é um exemplo deste
250 kbit/s. Tal como o Z-Wave, os pacotes circulam na rede tipo de sistemas mais simples (ao contrário do sistema FS20 em que
entre nós distintos, implicando maior capacidade de proces- o sensores respondem com uma mensagem de confirmação após
samento e complexidade do que em sistemas de comunica- receberem um comando) [8]. São conectados por pares trançados e
ção mais simples. Para facilitar o desenvolvimento de sistemas seguem especificações elétricas semelhantes ao protocolo RS485. É
deste tipo, vários fabricantes disponibilizam módulos RF para facilmente expansível e de baixo custo (no final do artigo é apresen-
integração, permitindo lidar apenas com as camadas superio- tado o preço indicativo de uma instalação deste tipo). O X10 é um
res do protocolo. Todas as empresas que queiram lançar no dos sistemas mais conhecido, e também um dos primeiros a apare-
mercado produtos com o logótipo ZigBee têm de fazer parte cer [9]. É muito utilizado na América, mas menos na Europa. Trata-
do grupo de empresas que detêm o nome. A certificação anual se de um sistema PLC, com as desvantagens inerentes. Os atuado-
é mais dispendiosa do que no caso do Z-Wave. As comunica- res não confirmam a recepção das mensagens, tornando o sistema
ções em ZigBee utilizam técnicas de modulação avançadas menos confiável. Além disso existem apenas 256 endereços na rede,
e incorporam algoritmos de detecção e correção de erros sendo que facilmente se esgota a possibilidade de adicionar mais
para garantir a integridade e segurança dos dados. Os repre- interruptores/detectores e atuadores. Apesar destas desvantagens,
sentantes do ZigBee afirmaram recentemente que o sistema é um sistema muito popular devido à sua simplicidade e baixo custo.
funcionou sem falhas na feira de eletrônica de consumo de Las A empresa americana SmartLabs desenvolveu um sistema compatí-
Vegas, apesar do elevado número de celulares e dispositivos vel com o X10, apelidado de Insteon. Além de utilizar o sistema de
Bluetooth presentes. comunicação X10, permite também transmitir sinais via rádio na
banda ISM americana de 904 MHz, o que contribui para o aumento
Protocolos mais simples da confiabilidade. (090649-1)
Além dos padrãos mencionados anteriormente, existem muitos Artigo original: Home Automation Padrãos – December 2009

[6] www.merten.de
Internet
[7] www.zigbee.org
[1] www.knx.org
[8] www.elv.com
[2] http://en.wikipedia.org/wiki/European_Installation_Bus
[9] h
 ttp://en.wikipedia.org/wiki/X10_%28industry_padrão%29”
[3] http://en.wikipedia.org/wiki/Local_area_network
http://en.wikipedia.org/wiki/X10_(industry_padrão)
[4] http://www.issendorff.com/
[10] www.insteon.net
[5] www.z-wave.com

38 04-2010 elektor
www.automationstudio.com

Software Completo e Integrado de Simulação


para Formação de Técnicos & Engenheiros
Ferramenta integrada para o ensino de Hidráulica, Pneumática, Elétrica, Eletrônica e Automação
Interface amigável que permite melhor retenção do conhecimento
Permite testar virtualmente todos os tipos de sistemas
Evita investimentos em equipamentos muitas vezes inacessíveis
Permite expor mais conteúdo curricular em menos tempo
Bibliotecas que interagem entre si com símbolos compatíveis com normas ISO, DIN, IEC e NEMA

O Automation Studio 5.6 inclui


Pneumática / Eletro Pneumática & Proporcional Eletrotécnica (D.C. e A.C.)
Hidráulica / Eletro Hidráulica & Proporcional Lógica Ladder p/ CLP (Allen-Bradley™, Siemens™, IEC 1131)
Fluid Power & Módulo de Dimensionamento Sequential Function Chart (Grafcet)
de Componentes Eletrônica Digital
Módulo de Animação 2D Lista de Material e Geração de Relatório
Painéis de Controle & HMI Projeto de Máquinas Virtuais e Simulação
Controles Elétricos

LABSIS Comércio de Equipamentos Educacionais Ltda.


Divisão Educacional da Anacom Eletrônica
Fone/Fax: (11) 4226-1030 Email: vendas@labsis.com.br Web site: www.labsis.com.br
Áudio & Vídeo

Mini pré-amplificador
Simples de usar graças ao AVR e LCD

Paul van der Vleuten (Bélgica)

Este pequeno pré-amplificador possui uma boa qualidade de som e uma interface simples graças à utilização
de um microcontrolador ATmega8. São utilizados dois potenciômetros digitais para controlar o volume,
e a informação sobre a entrada selecionada e o nível de volume são apresentados em um display LCD de
duas linhas.

Depois de experimentar um caríssimo A escolha recaiu no LM4780, fabricado A parte mais importante do pré-ampli-
sistema Hi-Fi, o autor decidiu adquirir um pela National Semiconductor, combina- ficador é o potenciômetro de volume.
par de caixas de som Dynaudio. Contudo, do com o circuito integrado de proteção Qual será a melhor opção? Um potenci-
estas eram muito dispendiosas. Felizmen- NTE7100 (µPC1237). Este último circui- ômetro convencional, ou uma solução
te, ele conseguiu adquiri-las mais tarde a to integrado proporciona um atraso para mais exótica, e assim mais dispendiosa. A
um preço promocional. Mas, um par de a ligação das caixas de som e as desliga última opção foi imediatamente coloca-
caixas de som não é o suficiente para se quando a tensão da rede deixa de estar da de lado, por razões orçamentais. Após
conseguir um bom sistema de som. Preci- presente no transformador. Em conjun- algumas pesquisas o autor decidiu utili-
sa, pelo menos, acompanhar de um bom to com os circuitos de proteção integra- zar um potenciômetro digital produzido
amplificador. Infelizmente, o orçamento dos no LM4780, consegue-se um sistema pela Analog Devices. O AD5490 tem 256
disponível era limitado. seguro e compacto. passos, o que é suficiente para o contro-
A solução era construir um amplifica- Mas, este artigo não é sobre o amplifica- le de volume. A THD é de apenas 0,006%.
dor, tão compacto quanto possível. Os dor em si, mas sim sobre como selecionar Todavia, foi o fato deste integrado utili-
critérios mais importantes seriam uma a fonte de entrada e controlar o volume. zar uma tensão de alimentação simétri-
proteção robusta contra a componen- Por outras palavras: este artigo é sobre o ca (entre ±4,5 V e ±15 V) que chamou a
te contínua nas saídas e a ausências de pré-amplificador. Tal como o amplificador, atenção do autor, pois assim será mais
ruídos quando fosse ligado ou desligado. também este tem de ser compacto. fácil utilizá-lo com amplificadores opera-

40 104-2010 elektor
LCD1
+5V
IC3
JP1 7805 LCD 2x16 caracteres

C6 C5

VCC
VSS

DB0
DB1
DB2
DB3
DB4
DB5
DB6
DB7
R/W
VO
RS

A
K
E
100n 100n 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16

R1

22R
TR1
10k
4 6
MUTE VCC VCC
ON/OFF 18 23 +5V
AVCC PCO(ADC0)
SETUP 20 24
AREF PC1(ADC1)
25
PC2(ADC2)
29 PC6(RESET) 26
PC3(ADC3)
19 27
ADC6 PC4(ADC4/SDA)
D2 D4 D3 D1 22 28
ADC7 PC5(ADC5/SCL)

IC1 SV4
30 2
PDO(RXD) PD4(T0/XCK)
31 9
PD1(TXD) PD5(T1)
32 10
PD2(INT0) PD6(AIN0)
1 11
PD3(INT1) PD7(AIN1)

INPUT4 INPUT3 INPUT2 INPUT1

ATMEGA8-AU
ENCODER 7 12 J1 J2 J3 J4
PB6(XTAL1/TOSC1) PB0(ICP1)
A 13
090241 - 11
PB1(OC1A)
14
PB2(SS/OC1B)
B 15
PB3(MOSI/OC2)
LED1

LED2

LED3

LED4
8 16 +5V
PB7(XTAL2/TOSC2) PB4(MISO)
21 AGND PB5(SCK) 17

GND GND
1 2
3 5
3 4
R6
5 6
1k5

7 8
9 10

+12V K1 +5V
IC2
PS710B-1A 5
++V LM317 SV2 IRIN
6 4
++V
R2 +5V IRVS
adj.
+5V SLAVE12V+ SLAVE5V+
120R

SLAVE0 SLAVE5V-0
R5
IRGND
R3 TR2
C3 C1
1k
1k2

1 2 0V
100n 100n
00 10k 1 2 Q1
00

0V C4 C2
BS170
100n 100n L1

100u
- -V 6 4
- -V 0V
7912
K2 5
IC4 -12V PS710B-1A 090241 - 11

Figura 1. A placa de controle contém o ATmega8, o LCD, os botões de controle e os reguladores de tensão.

elektor 04-2010 41
Áudio & Vídeo

L1 +12V +12V LED-COMM-A R21 +12V


C13 L-1
5k2
C22 47u
SV1 K1.B R1
D1 K1.A

47k
220u

ANALOG 1
A
C21 G-1
351 LED1
R2
220u L2 A
1N4148 Q1
POWER

47k
47u -12V
K1.C C14 R-1
JP3-1 BS170
JP2-2
+12V C15 L-2
D7
K2.B R3

JP2-1

47k
D2 K2.A

ANALOG 2
G-2
D6
JP2-3
351 LED2 R4
A
3x 1N4148 Q2

47k
D5
BAT85
SV2 K2.C C16 R-2

BS170
JP3-2
+12V C17 L-3

K3.B R5

47k
D3 K3.A

ANALOG 3
G-3
JP3-3
351 LED3 R6
A
1N4148 Q3

47k
+12V +12V K3.C C18
R-3
5 6 8 7 5 6 8 7
BS170
9 9
SD1

SD1
CLK

CLK
SDO

SDO
CS

CS
VDD

VDD

C1 C3
U$1 U$2 +12V C19
L-4
100n AD5290 100n AD5290
4 4
GND

GND

A 100k A 100k K4.B R7


C2 C4

47k
D4 K4.A
*

ANALOG 4
100n 3 100n 3
VSS

VSS

G-4
A

A
W

W
B

2 10 1 2 10 1 351 LED4 R8
A
-12V -12V 1N4148 Q4

47k
+12V
C11 K4.C C20
R-4
A

100n
BS170
A
R18
0R *
JP5 JPDAC
*
5
RXSEL1

RXSEL0
+3V3

4 2
R22 R16
6
100R 0R IC5
3 3 6
R19 O-L
OPA604 7 1 7
1
R14 R12 IC1.A IC1.B 100R
2 5
470k

OP-L A C12

O-G
3
100n A
OP-G -12V 1
IC2.A R9 R10 A
2
1M

1M

+12V 6
C10 R20 O-R
7
IC2.B 100R
5
OP-R A 100n
R17 A +12V
0R
5
4 2 C5 C7
R23 R15
6
100R 0R IC4
3 100n 4 4 100n
OPA604 7 A IC1 IC2 A IC1, IC2 = OPA2604
R13 R11
1 C6 8 8 C8
470k

A C9
100n 100n

100n A
-12V 090241 - 12
-12V

Figura 2. Na placa analógica encontram-se as entradas e saídas analógicas, os potenciômetros digitais e os vários estágios.

cionais alimentados simetricamente. O com a ajuda do microcontrolador AVR e l Selecionar a entrada a partir de uma lista de
controle do potenciômetro é realizado do Bascom. O autor optou por utilizar um nomes pré-definidos.
através de um barramento SPI de três ATmega8. Já que se vai utilizar um micro- l Compensar o volume para cada entrada,
condutores. Quando é enviado um byte controlador, então porque não adicionar de modo a evitar grandes diferenças ao
(bit mais significativo primeiro) o ponto algumas funcionalidades extra: mudar de fonte.
médio do AD5490 muda para a posição l Seleção de entrada através de relés. l Controle remoto através de um comando
correspondente. A versão escolhida para l Controle de volume através de um compatível com a norma RC5. O endereço
este circuito foi a de 100 kΩ. Este valor codificador. atribuído ao pré-amplificador é o 16.
ajuda a evitar ruídos na saída. Controlar l Visualização do nome da entrada se- l Função mute ativada pressionando
o potenciômetro é relativamente simples lecionada num LCD. brevemente o botão de controle de volume

42 104-2010 elektor
(codificador com interruptor incorporado). utilizadas resistências de 1,5 kΩ. PS
l Ligar e desligar o sistema pressionando o Os potenciômetros digitais são controlados
botão de volume por três segundos. Deste por vários pinos da porta B. Os pinos PB1 e • Iluminação do display: a queda
modo evita-se ter de colocar um interrup- PB2 são utilizados para os sinais Data out e de tensão nos LEDs dos displays standard de
tor no pequeno painel frontal. Clock, respectivamente, e os sinais de sele- cor verde é de aproximadamente
ção CS1 e CS2 estão associados aos pinos 4 V. Para iluminação de cor branca,
Circuito em duas partes PB3 e PB4. Podia ter sido utilizado apenas esta queda de tensão é inferior, cerca
O circuito é constituído por duas partes. um sinal de seleção, já que os AD5290 de 3,2 V. Verifique no datasheet qual é a
A primeira contém o microcontrolador podem ser ligados em cascata, enviando-se queda de tensão exata e ajuste o valor de R1.
ATmega8, um display de 2x16 caracteres, 16 bits, mas optou-se por mantê-los sepa- • Controlador para LEDs: se optar
um codificador, os seletores de entrada, os rados para que mais tarde se possa adicio- por utilizar LEDs com maior consumo
reguladores de tensão e as saídas. A segun- nar uma função de balanceamento entre os de corrente pode utilizar as ligações
da parte contém os componentes analógi- dois canais. previstas na placa analógica. Neste caso,
cos: relés de entrada, dois AD5290-100Ks e O conector ISP é também ligado à porta podem ser controlados através de um
os amplificadores operacionais. B. Os conectores SV4 e SV2 servem para transistor BS170 e de um relé (máximo
interligar as duas placas. 500 mA).
Seção de controle O codificador rotativo está ligado aos pinos • Garanta que os potenciômetros
Como se pode ver no diagrama apresen- PB6 e PB7. O autor optou pelo SW-ROT-02, digitais AD5290 100K recebem
tado na Figura 1, o circuito centra-se no fabricado pela Voti, por incluir um botão a tensão de alimentação correta.
ATmega8. A frequência de clock é gera- que vai ser utilizado para a função de Mute Estes integrados são muito sensíveis
da em um circuito RC interno. Tendo que e para ligar e desligar o pré-amplificador. Se à tensão de alimentação. Se uma
estar selecionada para 8 MHz, nos bits de optar por outro modelo, tenha cuidado ao das alimentações não estiver correta,
programação. ligar de acordo com o exemplo contido no os resultados podem ser catastróficos.
O display LCD é controlado no modo de 4 Bascom, que pode ser encontrado no arqui- • Se utilizar os ampops IC4 e IC5
bits, através dos pinos PC0 a PC5. O peque- vo Help, sob o título Encoder. para amplificar o sinal, não se
no potenciômetro TR1 serve para ajustar Quando o circuito é ligado, o pino PB7 liga o esqueça de adicionar um pequeno
o contraste do LCD (controle por tensão transistor BS170 (Q1). Este, por sua vez, ativa capacitor na malha de realimentação,
positiva). A corrente para os LEDs de ilumi- a iluminação do LCD e os dois relés de esta- para compensação.
nação é limitada pela resistência R1 (note do sólido PS710B-1A da NEC, que passam a
• Nem todos os ampops são
que o valor desta resistência depende do alimentação para a placa analógica. automaticamente estáveis quando
LCD utilizado). Existem várias saídas de 12 V e de 5 V (a ligados como seguidores de tensão
A seleção de entrada faz-se através dos massa desta tensão é comutada) que foram (como o OPA627). Nesse caso terá de
botões 1 a 4, que colocam as entradas PD0 utilizadas pelo autor para ativar os relés adicionar um capacitor, ou permitir que o
a PD3, respectivamente, à massa. As resistên- que ligam o amplificador de potência e um sinal seja ligeiramente amplificado.
cias internas de pull-up do ATmega8 estão conversor A/D opcional.
• Programação: o ATmega8 possui
ativadas, sendo o estado destes pinos, por Um receptor IV standard recebe os sinais
vários bits de programação. Se não
padrão, um. infravermelhos do controle remoto. Este é
estiver familiarizado com estes, deve
De modo a reduzir o número de pinos de conectado à placa através dos pinos IRIN, utilizar um programador separado, com
entrada/saída utilizados, o botão Setup é IRVS e IRGND. Os dados recebidos passam um adaptador para encapsulamentos
ligado entre os botões 1 e 3 através de dois para o ATmega pelo pino PB5. TQFP32 e depois soldá-lo na placa.
diodos. Quando este botão é pressionado, Os reguladores de tensão, LM317 e 7912,
• Se desejar programar o ATmega8
ambas as entradas, PD1 e PD3, são colocadas também estão nesta placa. A tensão simé-
diretamente na placa, lembre-se que o
à massa. Esta condição indica ao programa trica de 12 V é ajustada através do peque-
receptor de IV e as ligações à placa ana-
que deve saltar para a rotina Setup (If Pind.1 no potenciômetro TR2. O controlador e o
lógica partilham as mesmas ligações
= 0 And Pind.3 = 0 Then Goto Setup). LCD são alimentados por um regulador
da interface ISP, sendo que devem ser
O mesmo princípio é utilizado para o botão de 5 V separado. Se o jumper JP1 estiver desligados.
mute. A rotina para este botão chama-se colocado, a tensão para o 7805 é forne-
• Como se pode ver no código fonte,
MUTEONOFF, porque este botão possui duas cida a partir do regulador LM317. Nesse
o número associado ao sinal de mute
funcionalidades, mute, se for pressionado caso, a queda de tensão no 7805 será de
do controle remoto é 5 em vez de 13.
por breves instantes e On/Off se for pressio- 7 V, obrigando à colocação de um dissi-
Isto deve-se ao fato de o comando
nado por mais de três segundos. pador de calor. Também é possível ligar o
universal do autor não possuir a função
Os pinos PD4 a PD7 controlam os relés por 7805 a uma tensão externa de 9 V, através
de mute para sistemas hi-fi.
via dos MOSFETs BS170. A indicação visu- do pino 2 de JP1. Esta alimentação terá de
Para contornar esta situação, o botão que
al de qual está ligado é dada pelos LEDs 1 estar sempre presente, caso contrário será
seria associado à entrada 5 foi utilizado
a 4. O valor da resistência de limitação de impossível ligar o pré-amplificador através para a função de mute.
corrente (R6) depende do tipo de LED utili- do controle remoto. Em qualquer dos casos,
• Compilar o programa: se você mes-
zado. Tenha atenção que, quando escolher os dados não se perdem, pois são gravados
mo compilar o programa pode-se alterar
os LEDs e a resistência de limitação, as saídas na memória não volátil (NVRAM) interna do
os nomes das entradas e a sensibilidade
do ATmega8 não conseguem fornecer mais ATmega (Bascom: ERAM).
do nível de volume em relação
de 20 mA. Para LEDs de baixo consumo, com Como se pode ver existem várias opções
ao codificador rotativo.
uma corrente de cerca de 2 mA, podem ser para a alimentação. Os dois relés NEC

elektor 04-2010 43
Áudio & Vídeo

quatro entradas estéreo com os respecti-


vos relés. No centro estão os dois poten-
ciômetros digitais fabricados pela Analog
Devices.
IC1a e IC2a são configurados como segui-
dores de tensão. A impedância para todas
as entradas é de 47 kΩ, definida pelas
resistências R1 a R8. R9 e R10 nas entra-
das não-inversoras de IC1a e IC2a evitam
o desvio de tensão. Não existem capaci-
tores no caminho de sinal. No protótipo
os capacitores C13 a C20 foram substituí-
dos por pedaços de fio. Pode-se colocar os
capacitores se não pretender que se faça o
acoplamento de tensão contínua (depen-
de da configuração do sistema de áudio).
O sinal de áudio da entrada selecionada
passa pelos buffers IC1b e IC2b. A saída
destes amplificadores pode ser utilizada
em um amplificador de fone de ouvido, ou
para passar os sinais de áudio para outro
sistema. Estas saídas podem também
ser utilizadas para enviar os sinais para
um dispositivo de gravação, equivalen-
Figura 3. Exemplo de uma placa A/D com o CS8416 para três entradas digitais. te à saída Record Out, raramente utili-
zada nos dias de hoje. As resistências de
100 Ω (R19, R20, R22 e R23) servem para
PS710B-1A não são estritamente necessá- tado pelo autor, pelo que se torna fácil compensar o comprimento dos cabos.
rios. Podem ser substituídos por pedaços percebe-lo. O seu tamanho não permite Além disso, protegem os amplificado-
de fio entre os pinos 4 e 6, mas deixa de se que seja compilado pela versão gratuita res operacionais contra curto-circuitos
poder controlar a tensão de 12 V. do Bascom, de qualquer modo a licença momentâneos nas saídas.
A tensão pode ser fornecida por um peque- para a versão completa do compilador Os integrados IC4 e IC5 servem de tampão
no transformador com um secundário de não é assim tão dispendiosa. e de amplificadores para o sinal prove-
2x12 V/7 VA, uma ponte retificadora e niente dos potenciômetros digitais para
alguns capacitores. Seção analógica o amplificador de potência. Existe um
O código fonte, escrito em Bascom, pode Tudo o que é necessário para processar os conjunto de resistências no esquema que
ser baixado do site da Elektor (090241-11. sinais de áudio está nesta placa. No lado não foram utilizadas no protótipo (R15/
zip). Este está extensivamente comen- direito do circuito (Figura 2) podemos ver R17/R13, R16/R18/R14), e que podem ser
utilizadas para amplificar o sinal. O ganho
em tensão do pré-amplificador completo é
de 0 dB, um valor adequado aos amplifica-
dores modernos.
Os amplificadores operacionais utilizados
foram os OPA604 e o OPA2604, que eram os
que o autor tinha disponíveis e que são de
boa qualidade. Podem ser utilizados outros
amplificadores que sejam compatíveis em
termos de pinos. Todas as entradas funcio-
nam com níveis de tensão de linha. Se quiser
ligar um toca discos, precisará de um pré-
amplificador adicional.

Entradas analógicas e digitais


Como o autor está sempre pensando em
modificações e melhorias nos seus circui-
tos, foram acrescentados um conjunto de
conectores e jumpers para permitir modi-
ficar e expandir o pré-amplificador.
A entrada 1 está configurada permanente-
Figura 4. Alguns exemplos da informação apresentada no display: a) nome associado mente como entrada analógica.
à entrada; b) volume pré-definido para a entrada; c) nível de volume.
Se pretender quatro entradas analógicas

44 104-2010 elektor
Figura 5. Fotografia do protótipo. Em baixo à direita pode ver o módulo D/A fabricado pela AMB (Y1 DAC, www.amb.org).

deve configurar o pré-amplificador do lecionada, serão ligados os relés 2 e 4. A fias dão uma preciosa ajuda. A caixa pode
seguinte modo: saída de áudio do conversor D/A fica então ser realmente pequena. A placa de contro-
. JPIN2-1, JPIN2-2, JPIN2-3 = curto-circuito ligada a IC1a e IC2a através de K4, e K2 le fica montada por trás do painel frontal,
entre os pinos 1 e 2. garante que o pino RXSEL1 do CS8416 fica onde se encontram o codificador rotativo,
. JPIN3-1, JPIN3-2, JPIN3-3 = curto-circuito ligado à massa através de JPIN2-3, resultan- os botões de seleção e setup e o display.
entre os pinos 2 e 3. do em RXSEL0 = 1 , RXSEL1 = 0 e a entrada Além destes é necessário também fazer
Se quiser também utilizar a entrada digital, digital respectiva na Figura 3 seleciona- um furo para o receptor de IV.
pode acrescentar a placa com o conversor da. O mesmo se aplica aos pinos JPIN3-1, A placa com a parte analógica fica montada
D/A e ligar as suas saídas à entrada quatro JPIN3-2 e JPIN3-3. Quando a entrada 3 é na parte traseira da caixa, de modo a que
(conectores JPDAC), ficando com uma selecionada, temos RXSEL0 = 0 e RXSEL1 as interfaces de entrada fiquem salientes
entrada digital e três analógicas. = 1. E quando a entrada 4 é selecionada, no painel traseiro. A ligação entre as duas
Pode-se ainda expandir mais o circui- apenas K4 é ligado e RXSEL0 = 1. Neste placas pode ser feita através de um cabo
to. Se utilizar uma interface de áudio caso, a entrada RXP3 do CS8416 é sele- plano, pois estas ligações não transportam
CS8416 pode configurá-la para o modo cionada. Tenha em mente que as entra- qualquer sinal de áudio.
de hardware e utilizar as entradas RXSEL0 das analógicas 2, 3 e 4 deixam de estar O espaço existente no meio da caixa pode
e RXSEL1 para controlar o multiplexador disponíveis com estas configurações, pois ser utilizado para colocar a fonte de alimen-
S/PDIF interno. Deste modo pode selecio- são substituídas pelas entradas digitais do tação, com os componentes montados
nar uma de quatro entradas digitais (RXP0 CS6416 da Figura 3. numa placa de protótipos. Não se esque-
a RXP3), tal como no exemplo apresenta- ça de garantir um espaçamento suficien-
do na Figura 3. Construção te entre o primário e o secundário do
Se os pinos JPIN2-1, JPIN2-2 e JPIN2-3 O autor desenhou placas para ambos transformador!
forem colocados de modo a curto-circuitar os circuitos, e os seus desenhos (forma-
os pinos 2 e 3, o sinal de controle da entra- to Eagle) podem ser obtidos no site da (090241-1)
da 2 ficará ligado ao relé 4 através de D6. Elektor (090241-1.zip). A construção do Artigo original: Mini Preamplifier – October
Isto significa que quando a entrada 2 for se- pré-amplificador é simples, e as fotogra- 2009

elektor 04-2010 45
Áudio & Vídeo

Pré-amplificador de bolso
2ª parte: pré-amplificador simples
com controle de tonalidade

Ton Giesberts (Elektor)

O estágio de potência PWM abordado na passada edição de Março pode perfeitamente ser usado sozinho.
Mas um correspondente e adequado pré-amplificador com fonte de alimentação completaria muito bem
este amplificador. É por essa razão que apresentamos este pré-amplificador de bolso.

No artigo publicado na edição de Março exista algo de errado com os alto-falantes. dor (Figura 1). Este é o melhor local para
de 2010 descrevemos um pequeno ampli- Uma faixa de ajuste de 12 dB significa que, evitar que o estágio de controle de tona-
ficador PWM. O que faltava era um con- por causa da potência relativamente limi- lidade entre em saturação. O primeiro
trole de tonalidade e volume. Como a tada do estágio de saída, existe um perigo estágio amplificador (IC1a) está ligado
maioria dos nossos leitores têm sido iminente de sobre excitação, em especial numa configuração não inversora, com
“mimados”, nos dias que correm, com sis- para as frequências mais baixas e interme- um ganho de quatro vezes, calculado a
temas de som surround, equipados com diárias. Afinal de contas, um incremento partir de:
equalizadores com mínimo absoluto, cria- de 12 dB significa um aumento na potên-
mos este pré-amplificador com um con- cia de 16 vezes! R3 / R2 + 1
trole de tonalidade de três vias, em vez do
tradicional controle graves/agudos. Circuito Para uma tensão de alimentação de ±9 V,
O controle de volume (P1) está ligado é possível produzir um sinal com mais de
Controle de tonalidade diretamente à entrada do pré-amplifica- 1 V (ou seja, um pouco mais de 1,2 Veff)
O controle de tonalidade tem uma gama sem distorção, quando os potenciômetros
de regulação de ±12 dB para as altas e de controle de tonalidade estão nas suas
baixas frequências e de ±9 dB para as fre- Características técnicas posições centrais. Como é óbvio, quando
quências intermediárias. Este último é o controle de tonalidade dos baixos ou
mais do que suficiente, uma vez que os • Controle de tonalidade de 3 bandas. agudos está no seu valor máximo, o sinal
nossos ouvidos são mais sensíveis às fre- • Fonte de alimentação simétrica. de entrada máximo admissível é muito
quências intermediárias. O circuito tam- • Compacto. menor, apenas 300 mV (para as frequên-
bém fica razoavelmente simples com • Disposição do conector de acordo cias em questão, claro). Neste ponto, a
esses valores. Se esta faixa de ajuste for com placas associadas. saída do controle de tonalidade está ape-
muito pequena, então é bem provável que nas um pouco abaixo do ponto de entrada

46 04-2010 elektor
C1 C2

68p 180n
R3 R4 P2 R5
10k 2k2 2k2
10k lin.
C8
2 R6 68p

15k
P1 R12
1
IC1A 1M
3
R1 R2 6
10k R13
log. R9 7
220k

IC1B 100R
3k3

4k7
C7 C4
IC1=NE5532
R7 6n8 P3 27n R8
+9V 1k5 1k5
C9
R10 10k lin.
100n R11
8 C3
0 IC1
1k

1k
C10 4 4n7
100n C5 P4 C6
-9V
27n 10k lin. 27n 080278 - 11

Figura 1. O pré-amplificador é muito simples, com controle de volume e controle de


tonalidade de três bandas.

em saturação (mas já é capaz de sobreex- P2. R1 funciona de forma semelhante para


citar o amplificador de potência, por isso o controle de volume P1. Os capacitores
tenha cuidado). C8 e C1 suprimem os sinais de interferên-
O funcionamento do controlador de tona- cia RF.
lidade não é muito difícil de entender. A
parte em torno de IC1b consiste num P2 é o controle de graves. O capacitor C2
amplificador inversor, com três circuitos determina a gama de frequências que vai
de realimentação ligados em paralelo para ser controlada. De uma maneira simples,
o controle de tonalidade. A resistência para frequências mais altas, o capacitor
R12 garante que a saída não pode variar C2 curto-circuita efetivamente o poten-
para o valor da tensão de alimentação no ciômetro P2. O valor de amplificação é
caso de ressalto no contato do cursor de então determinado pela relação entre R5

C9 C11
9V
R1 C5 10u 100n
8

L1 63V 0
7 1
V+
100k

OSC TEST 100n


R3 2 IC2
4

10uH CAP+
7 C3 5 C10 C12
R

DIS VOUT
1k

R2
ICL7662CPA 9V
C1
6
IC1 3 10u 63V 4 6 10u 100n
9V 9V L2
100k

GND

THR OUT CAP- LV 63V


R4
100n
2 TLC555 1mH
3

TR C7 C8
1k
CV

C2
5

4u7 4u7
100p 63V 63V

C6
8

7 1
V+

OSC TEST 100n


CAP+ IC3
2
C4 5
VOUT
10u 63V 4
ICL7662CPA
6
GND

CAP- LV
3

080278 - 12

Figura 2. É usado um conversor de tensão para converter a tensão de alimentação


simples numa fonte de tensão simétrica.

elektor 04-2010
Áudio & Vídeo

Lista de componentes
Placa do pré-amplificador R3= 10 kΩ C3= 4,7 nF, poliéster MKT
R4;R5= 2,2 kΩ C4;C5;C6= 27 nF, poliéster MKT
R6= 15 kΩ C7= 6,8 nF, poliéster MKT
R7;R8= 1,5 kΩ C9;C10= 100 nF, poliéster MKT
R9= 4,7 kΩ
R10;R11= 1 kΩ Semicondutores:
R12= 1 MΩ IC1= NE5532
R13= 100 Ω
P1= potenciômetro logarítmico 10 kΩ Diversos:
P2;P3;P4= potenciômetro linear 10 kΩ PCI (Ref.ª 080278-1),

Resistências: Capacitores:
R1= 220 kΩ C1;C8= 68 pF, cerâmico
R2= 3,3 kΩ C2= 180 nF, poliéster MKT

e R4. A relação entre P2 e R4 e R5 deter- conjunto com C4, define a faixa de con- uma grande largura de banda, porque
mina a faixa mínima e máxima de con- trole das frequências intermediárias. No partimos do princípio que poderão ser
trole, respectivamente. O ganho máximo final, as faixas de ajuste do controle das usados pequenos alto-falantes. Se este
é, por exemplo, frequências intermediárias e altas não são controle de tonalidade for usado com
determinadas apenas, por exemplo, pela um amplificador mais potente e uns alto-
(P2 + R5) / R4 relação entre P3 e R7 e R8, mas também falantes maiores um valor superior em C2
E é cerca de 5,5 vezes (15 dB, DC). A resis- pelos outros componentes no circuito de pode resultar num som melhor. A resis-
tência R6 é necessária para que as outras realimentação, que também desempe- tência de saída R13 evita que surjam pro-
frequências possam ser ajustadas atra- nham um papel importante. É por isso que blemas no caso de ser ligada uma carga
vés de P3 e P4. O capacitor C7
determina principal-

mente qual
a faixa de frequência do controle de
tonalidade dos agudos. Os capacitores
C5 e C6 garantem que o circuito de con-
trole de tonalidade tenha uma resposta
acentuada.
Os componentes R9 e C4 têm a mesma
função para o controle de frequências a relação entre P3 e P4 e R7/R8 e R10/R11 excessivamente capacitiva.
intermediárias que R6 e C7 têm para o é maior do que seria de esperar das faixas
controle das baixas e altas-frequências. de ajuste reais. Fonte de alimentação
C3 tem a mesma função que C2, mas filtra A fonte de alimentação é simétrica. Desta
as altas-frequências muito mais tarde. Em O controle de tonalidade dos baixos tem forma, podemos evitar os grandes capa-

Lista de componentes
Placa da fonte de alimentação Resistências: Bobinas:
R1;R2= 100 kΩ L1= 10 µH, axial (montagem vertical)
R3;R4= 1 kΩ L2= 1 mH, axial (montagem vertical)

Capacitores: Semicondutores:
C1;C5;C6;C11;C12= 100 nF, cerâmico, IC1= TLC555
passo 5 mm IC2;IC3= ICL7662CPA+ (Maxim)
C2= 100 pF, passo 5 mm
C3;C4;C9;C10= 10 µF/63 V, radial, Diversos:
passo 2,5 mm PCI (Ref.ª 080279-1).
C7;C8= 4,7 µF/63 V, radial, passo 5 mm

48 04-2010 elektor
citores de acoplamento e os seus efei- lidade é muitas vezes inferior; o NE5532
tos negativos sobre a qualidade de som. é um ampop com excelente qualidade de
A desvantagem é que é necessária uma áudio.
tensão de alimentação negativa. A solu- No nosso protótipo, ligamos inicialmente
ção mais simples é um circuito que inverte quatro circuitos integrados em paralelo,
a tensão de alimentação positiva. mas com três ou quatro não se obtém
Selecionamos um conversor DC/DC da nenhum ganho extra. No entanto, houve
Maxim, o ICL7662 (Figura 2). Este circuito um efeito estranho: a ondulação na saída
integrado funciona como um circuito de variou muito lentamente entre um valor
carga e pode operar com tensões até 20 V. mínimo e um valor máximo. Isso foi cau-
Quer seja em termos de pinos ou de fun- sado pelo funcionamento assíncrono dos
cionalidade este circuito integrado é com- osciladores internos. Além disso, a fre-
patível com o ICL7660, que pode operar quência da ondulação desta tensão de ali-
até 10 V (a versão A pode lidar com ten- mentação é de 10 kHz pelo que se pode
sões até 12 V). Esses componentes tam- tornar audível. É por isso que os circuitos
bém podem ser usados aqui sem qualquer integrados são controlados por um sinal
problema. A grande vantagem disto é a de clock externo fornecido por um 555.
simplicidade, apenas são necessários dois A frequência do 555 está ajustada para

+15

+12

+10

+8

+6

+4
d +2
B
r +0

A
-3

-5

-7

-9

-11

-13

-15
20 50 100 200 500 1k 2k 5k 10k 20k
Hz 080278 - 13

Figura 3. As curvas mostram os efeitos das diferentes configurações máximas do


controle de tonalidade.

capacitores externos. Uma pequena des- 40 kHz, sendo que a ondulação de 20 kHz
vantagem é que a tensão de saída não é está fora da faixa de frequências audível.
regulada. A vantagem é que o indutor no filtro de
A tensão de saída sem carga é igual à ten- saída pode ser muito menor, o que resulta
são de entrada, mas de valor negativo. numa resistência de perdas muito menor
Contudo, à medida que a corrente de para esta bobina. A bobina que usamos
saída aumenta a tensão de saída decresce. para L2 tem uma resistência série de 12 Ω.
Para aumentar a estabilidade da tensão de A bobina L1 e L2 são dispositivos de está-
saída são ligados dois circuitos integrados gio para supressão de ruído, neste caso
em paralelo. Se carregar um único circuito montadas na vertical. O mesmo acontece
integrado alimentado a 9 V com uma para as quatro resistências no circuito, o
resistência de 100 Ω, a tensão de saída cai que poupa muito espaço.
para -4,6 V. Com dois circuitos integrados
em paralelo esta cai para apenas -6,3 V. Não vamos debruçar-nos no circuito
Com o pré-amplificador como carga a ten- em torno do 555. Este está montado
são de saída cai apenas 0,35 V (o NE5532 numa habitual configuração astá-
consome cerca de 7,5 mA). Pode também vel. O circuito integrado IC1 controla
usar outros ampops que tenham um con- a entrada de clock dos dois conver-
sumo de corrente menor, mas a sua qua- sores, através de uma resistência de

elektor 04-2010
Áudio & Vídeo

Kit
Tal como se indica na lista de componen-
tes, pode-se encomendar as placas de
circuito impresso pelo Serviço Elektor.
Disponibilizamos: um kit completo,: kit
com as placas de circuito impresso e to-
dos os componentes necessários, com
1 kΩ para cada, de modo a prevenir e mínimo. Com múltiplos canais, os des- exceção dos potenciômetros.
potenciais problemas durante o ciclo de vios individuais podem resultar em varia-
arranque (risco de disparo). A ondulação ções audíveis. Se você tiver oportunidade
aos terminais dos capacitores de filtra- de verificar se os canais individuais dos
gem C7 e C8, que estão ligados em para- potenciômetros estereo são idênticos,
lelo para obter uma resistência série mais então recomendamos veemente que o
baixa, é quase totalmente removida pelo faça. Com mais de dois canais, a utilização
filtro de saída L2/C10/C12. Num oscilos- de comutadores rotativos com múltiplos
cópio apenas é visível uma pequena quan- pólos pode ser uma solução a considerar,
tidade da frequência de comutação do mas esta é uma solução dispendiosa.
amplificador de potência.
Construção
Resultados As conexões para as três placas foram colo-
Os resultados mais interessantes do teste cadas nas mesmas posições, tanto quanto
para o controlador de tonalidade são as cur- possível. A saída do pré-amplificador está
vas de resposta em frequência individual de no mesmo canto que a entrada para o
cada ajuste de tonalidade. A Figura 3 mos- amplificador de potência. As conexões da
tra o máximo, mínimo e posição neutra tensão de alimentação do pré-amplifica-
(a posição dos graves e agudos permanece dor estão na mesma zona que as saídas da
inalterada). Na posição neutra temos uma placa da fonte de alimentação. A entrada
ligeira atenuação inferior a 1 dB para 20 de 9 V da placa da fonte de alimentação é
kHz. Isto é principalmente causado pelos enviada diretamente para as duas ligações
capacitores de supressão RF (C1 e C8). Para da tensão de alimentação do amplificador
20 Hz a variação do ganho é de ±14 dB (±12 de potência. A posição destas corresponde
dB a 40 Hz) e a 20 kHz é de cerca de ±12 dB. às conexões da fonte de alimentação do
A distorção com um sinal de entrada de amplificador de potência. No amplifica-
0,5 V é inferior a 0,005% (1 kHz, largura de dor de potência, ao lado dasconexões da
banda de 22 kHz, controle de volume para tensão de alimentação, estão também
o máximo, controle de tonalidade na posi- presentes as conexões para o interruptor
ção neutra). O consumo de corrente de de alimentação (S1). Isto é apenas para o
todo o circuito é de 56 mA para 9 V, e mais amplificador de potência. É melhor inse-
12 mA com o amplificador PWM. Com um rir um interruptor em série com a entrada
alto-falante de 8 Ω e o amplificador ligei- para a fonte de alimentação. Pode então
ramente saturado, o consumo de corrente curto-circuitar as conexões para S1.
atinge um pico de cerca de 162 mA. Isto,
na realidade, é demasiado para uma pilha Os furos para montagem não foram deli-
de 9 V. Para vários canais, recomendamos beradamente incluídos em todas as três
que se utilize um adaptador de rede. placas para manter tudo o mais compacto
possível. Para uma opção de montagem
Durante os testes não chegamos a uti- mais confiável pode usar um suporte de
lizar potenciômetros para os controles plástico com ranhuras. As placas podem
de tonalidade, mas em vez disso usamos então serem montadas umas em cima das
um conjunto de interruptores rotativos outras. A melhor forma é colocar a placa
com resistências. Isto porque o interesse da fonte de alimentação na parte inferior,
principal reside no desempenho na posi- a placa de controle de tonalidade em cima
ção neutra e no limite superior e inferior. e, finalmente, a placa do amplificador de
Assim, cada potenciômetro fica reduzido potência no topo de todo o sistema.
a duas resistências e um comutador rota-
tivo. A tolerância dos potenciômetros é
normalmente muito elevada; tipicamente
±20% e, inevitavelmente, tem um efeito (080278-1)
na faixa de frequência e ganho máximo Artigo original: Pocket Preamp – October

50 04-2010 elektor
Idéia para projeto

Indicador de falta de água para plantas


Nem toda pessoa tem jeito, sensibilidade ou tempo para se lembrar de quando deve
regar as suas plantas. Muitas vezes estas só são regadas quando já estão morrendo, com
as folhas secando. E nem todas as plantas são capazes de recuperar após uma seca tão
prolongada! Com alguns componentes e uma hora do seu tempo livre pode-se construir um
indicador que pisca um LED sempre que a sua planta estiver carente de atenção.

Uma forma fácil de medir a umidade do solo num vaso com entre seco e úmido pode ser ajustado através de P1, dependendo
uma planta é medir a condutância elétrica do solo com dois do tipo de planta e de solo em questão, e do espaçamento entre
eletrodos. À medida que o solo seca, torna-se um pior condutor os eletrodos.
de corrente elétrica. Para impedir a eletrólise (que leva à dete- A frequência deste segundo oscilador baseado em IC1.D é cerca de 1,5
rioração ou corrosão) dos eletrodos, é utilizada uma corrente Hz. Sempre que este é ativado o LED começa a piscar. D2 e R7 garantem
alternada. A forma mais fácil de gerar esta tensão alternada que o LED permaneça aceso durante apenas 20% do
é utilizar uma porta com uma entrada Schmitt Trigger como período (e portanto apagado 80% do tempo). Esta relação aceso/
oscilador. O circuito integrado utilizado é um 74HC132, com /apagado é ainda mais do que suficiente para se perceber quando o LED
quatro portas NAND. está piscando, e garante uma redução considerável no consumo de cor-
O oscilador deste circuito, também chamado multivibrador as- rente médio a partir das duas baterias de 1,5 V.
tável, é desenhado com base em IC1.A, com uma frequência O consumo de corrente com o LED piscando é de cerca de 1,4
de funcionamento de 10 kHz. C1 é carregado e descarregado mA. Quando o LED está apagado, o consumo é de 0,4 mA. Com
através de R1, sempre que o limiar de comutação do Schmitt duas baterias de 1,5 V convencionais, o circuito funciona durante
Trigger é alcançado e a saída da porta muda
de estado lógico. Os eletrodos são ligados
através de capacitores (C2 e C3) à saída
do oscilador e à entrada da segunda porta
(IC1.B) para garantir sem margem para dúvi-
das que a corrente que cir-
cula pelos eletrodos é efe-
tivamente alternada. Des-
ta forma, o solo conduz o
sinal alternado de IC1.A
até IC1.B. Se o solo esti-
ver suficientemente úmido,
a tensão alternada do
segundo eletrodo é sufi-
cientemente elevada para
comutar IC1.B ao mesmo
ritmo do sinal proveniente
do oscilador (IC1.A). A
onda quadrada resultante
em IC1.B é retificada pelo
díodo D1 e filtrada pelo
capacitor C4, de modo a
que a porta IC1.C tenha um
nível alto em ambas as entra-
das e como tal leve a sua saída
ao nível baixo. Este nível baixo
garante que a saída da porta
IC1.D se mantém no nível alto,
e como tal o LED indicador (D3)
permanece apagado.
IC1.D funciona também como
um oscilador. Este oscilador é ati- cerca de 300 dias (assumimos uma capacidade de 3000 mAh),
vado (pino 13 com um nível alto) dado que, obviamente, as plantas sejam a partir de agora rega-
quando o solo fica demasiado das com a devida regularidade.
seco e como tal a amplitude da tensão alternada no segundo
eletrodo é demasiado baixa. O nível onde se dá a distinção Artigo original: Thirst indicater for house plants – December 2007

elektor 04-2010 51
HARDWARE & SOFTWARE

Minicomputador de xadrez
com ATM18
Placa AVR Elektor frente a frente
com reis, rainhas e bispos…
Wolfgang Rudolph e Andre Adrian (Alemanha)

O sistema ATM18 da Elektor pode ser utilizado para implementar um jogo de computador de xadrez mui-
to simples, mas surpreendentemente eficaz. O software deste projeto foi desenvolvido em linguagem C, e
não foi fácil encaixar todo o programa nos 8 kB de memória disponibilizados pelo ATmeta88.

O jogo de xadrez ocupa um lugar muito nato mundial de xadrez foi disputado em
especial entre os diferentes jogos de 1886 entre Wilhelm Steinitz e Johannes
tabuleiro. A sua origem pode ser ligada Zuckertort.
a um jogo denominado por Chaturanga, Um jogo de xadrez perfeito está muito
jogado na Índia. O jogo espalhou-se por além da capacidade dos mais rápidos
terras árabes através da Pérsia, e foi tra- e poderosos computadores existentes
zido para Espanha e para o resto da atualmente. O número total de posições
Europa pelos Mouros. Em pleno século possíveis não é conhecido com exatidão,
XV, o jogo gozava de uma grande popula- embora haja uma estimativa de que seja
ridade por toda a Europa. Pensa-se que o cerca de 2,28 × 1046, e o número total de
nome xadrez deriva da palavra persa shah, jogos possíveis com duração de aproxima-
que pode ser traduzida aproximadamente damente 40 jogadas por jogador cerca de
como rei. 10120. Para se ter uma idéia da escala, os
Após uma considerável revisão das regras, Figura 1. O célebre e elaborado cientistas estimam que o número total de
tiveram início os primeiros torneios de autômato de xadrez o “Turco mecânico” átomos existentes nos bilhões de galáxias
xadrez. O primeiro torneio ocorreu em de 1769, que veio a se revelar uma presentes no nosso universo seja apenas
1851, Londres, e o primeiro campeo- enorme fraude. de 1078.

52 04-2010 elektor
Do “Turco mecânico” a história da programação de jogos de
ao computador de xadrez xadrez e de computadores de xadrez em
No distante ano de 1769, o funcionário [3], [4] e [5].
judicial húngaro, e competente enge-
nheiro mecânico, Wolfgang von Kempe- Xadrez no ATM18
len demonstrou um autômato capaz jogar O programa de xadrez Micro-Max [6]
xadrez, para fascínio dos seus contempo- foi escrito por Harm-Geert Müller [7].
râneos. Infelizmente, tudo não passava de Como ele mesmo descreve, o seu obje-
uma farsa elaborada, o robô sentado na tivo foi escrever o menor programa de
mesa de xadrez, vestido como um turco xadrez do mundo em linguagem C. O
(Figura 1) era operado através de um seu objetivo era alcançar os 1024 bytes,
complexo sistema de alavancas e ligações mas mesmo omitindo o passagens o
para fazer movimentos no tabuleiro, tudo melhor que se conseguiu foram 1200
sob o controle de um homem por debaixo caracteres. Assim, optou por aumen-
da mesa. Contudo, só muito após a morte tar a dimensão do código para 2 kB,
de Kempelen se descobriu o segredo do e incluiu praticamente todas as regras
seu “Turco mecânico”. oficiais da FIDE (Fédération Internatio-
A primeira máquina de xadrez efetiva- Figura 2. KonradZuse, ao lado de uma nale des Échecs), também conhecida por
mente capaz de calcular jogadas e fazer réplica do seu computador Z1. Foi um Federação Internacional de Xadrez. Foi
movimentos foi um dispositivo mecânico pioneiro da computação moderna e até também incluída a validação das jogadas
construído no princípio do século XX por escreveu um programa de xadrez (foto feitas pelo oponente humano. De início,
um engenheiro espanhol chamado Leo- cedida por Prof. Horst Zuse). a única possibilidade em falta era a pro-
nardo Torres y Quevedo, igualmente res- moção (promoção de um peão para uma
ponsável pelo desenvolvimento de um peça que não a rainha ao chegar à oitava
dirigível transatlântico e um teleférico dores domésticos. O primeiro foi o Micro- posição), que não considerou necessário.
por cima das cataratas do Niágara. Em chess, escrito por Peter Jennings para o Contudo, esta possibilidade foi posterior-
1912 o seu autômato “El Ajedrecista” era processador 6502. Mais sucesso ainda mente adicionada a uma nova versão do
capaz de ganhar uma final de jogo com rei teve o Sargon, de Dan e Kathe Sprack- programa.
e torre brancas contra um rei e torre pre- len. O Sargon rodava originalmente em O programa consiste em menos de 150
tas. O algoritmo usado pela máquina não sistemas Z80, mas foi depois convertido linhas de código C, totalizando cerca de
era ótimo, e podia demorar até 63 movi- para um Apple II. A versão para Apple 2000 caracteres ASCII. Andre Adrian fez
mentos para chegar ao xeque-mate. suportava síntese de fala de 1 bit atra- a conversão do Micro-Max versão 4.8
O seu filho Gonzalo construiu em 1922 vés do alto-falante interno. Desde então para um microcontrolador Atmel AVR de
uma versão melhorada, sob a direção do foram lançados centenas de programas de 8 bits, utilizando o compilador WinAVR
seu pai, que foi apresentado pela primeira xadrez, do péssimo ao excelente. GCC. O resultado é um computador de
vez no Congresso Cibernético de Paris, em Pode-se encontrar mais informação sobre xadrez compacto, cujo mostrador a LEDs
1951. Este ‘computador de xadrez’ era
um projeto puramente eletromecânico, e
ainda se mantém funcional hoje em dia.
Pode ser visto no museu Torres Quevedo,
na Universidade Politécnica de Madrid.
Konrad Zuse [1], um pioneiro dos compu-
tadores modernos, gozava com os seus
amigos em 1938 que daí a cinquenta anos
um computador seria capaz de bater um
campeão de xadrez. Uma previsão arro-
jada para o momento, mas em retros-
pectiva foi surpreendentemente caute-
loso. Ele escreveu o primeiro programa
de xadrez na linguagem Plankalkül (cál-
culo planeado) [2], por ele desenvolvida
entre 1941 e 1945. Contudo, esta lingua-
gem de programação nunca foi supor-
tada pelos computadores da altura, e só
no ano 2000 surgiu o primeiro compila-
dor de Plankalkül. Os programas de xadrez Figura 3. Esboço de Konrad Zuse datado de 1941, com o objetivo de ilustrar o cálculo
ganharam uma imensa popularidade com da memória necessária para a representação interna de um tabuleiro de xadrez
o advento dos primeiros microprocessa- (64 quadrados × 4 bits/quadrado = 256 bits no total) (Fonte: arquivo de Internet de
dores e com a introdução dos computa- Konrad Zuse em http://www.zib.de/zuse/English_Version/index.html).

elektor 04-2010 53
HARDWARE & SOFTWARE

+5V conectados através de sete portas. O


GND
PD2 DATA esquema do circuito do teclado é apre-
PD3 CLK
sentado na Figura 4. A partir da figura
torna-se claro como os botões podem
ser dispostos num pedaço de placa perfu-
LCD 20 x 4 rada para protótipos, e conectados entre
si. Na figura os oito botões utilizados para
introduzir os movimentos são apresenta-
dos em duas linhas de quatro, com uma
linha adicional para os três botões de fun-
ção (marcados como FN, CL e GO). A dis-
posição dos botões não é rígida, aliás, a
fotografia do nosso protótipo mostra três
linhas de três botões cada, e uma linha
PB0
PB1
PB2

PC0

PC1

PC2

PC3
final com dois botões.
O botão FN é utilizado para acessar as fun-
S1 S2 S3 S4
ções especiais. O botão CL é utilizado para
A1 B2 C3 D4
corrigir enganos após uma jogada ter sido
S5 S6 S7 S8 introduzida, mas antes do botão GO ter
E5 F6 G7 H8 sido pressionado. O botão GO, além da
S9 S10 S11 funcionalidade normalmente associada
FN CL GO a um Enter, tem também outras funções
080947 - 11 adicionais descritas mais adiante.
O teclado é conectado como uma matriz,
Figura 4. Diagrama de conexões para o minicomputador de xadrez CC2. O mostrador e excitado através das saídas em coletor
com dois fios e os 11 botões de pressão estão conectados à placa ATM18. aberto do microcontrolador, o que sig-
nifica que se mais do que um botão for
e o modo de operação lembram o famoso tiva com o adversário do que o seu primo pressionado simultaneamente as saídas
computador dedicado para xadrez AVR-Max, que apenas dispões de quatro do microcontrolador não serão danifica-
Mephisto. Este projeto AVR-Max vai ser mostradores de sete segmentos. Como é das pelo curto-circuito.
descrito num próximo número da Elektor. habitual, o software está disponível gra- É aconselhável utilizar um cabo muito fle-
A partir deste projeto surgiu a idéia de tuitamente no site da Elektor. O código xível para a conexão dos sete condutores
adaptar o AVR-Max para rodar no sistema da leitura do teclado presente na versão entre a placa de testes ATM18 e o teclado.
ATM18 da Elektor. O projeto parecia bas- a LEDs foi totalmente transportado para É também uma boa idéia ligar as três pla-
tante obscuro no início. A versão com a versão ATM18, sendo que a única adição cas de circuito impresso (placa ATM18,
LEDs utiliza uma rotina de interrupção dis- necessária ao hardware é a conexão de teclado e LCD) numa única base ou fixa-
parada por um temporizador para coman- uma matriz de botões de pressão à placa ção similar para garantir que os cabos de
dar o mostrador e ler o teclado, o que não ATM18. conexão não sofram esforços.
se conjuga particularmente bem com a
interface de dois fios para o LCD utilizado Leitura do teclado Programa de xadrez
no ATM18. Apesar de algumas dificulda- O teclado (que pela sua reduzida dimen- AVR-Micro--Max
des iniciais, eventualmente todos os pro- são, quase não merece esse nome) con- O programa utiliza o algoritmo de pes-
blemas foram identificados e resolvidos. siste em um total de onze botões de quisa negamax para calcular a sua pró-
O software foi modificado para mostrar pressão, oito utilizados para introduzir xima jogada. Este é implementado no
o texto adicional no módulo LCD de dois as jogadas, nomeados de A1 a H8, e três Micro-Max como uma função recursiva.
fios. Isto torna esta versão ATM18 do mini botões de função. Para ligar os botões à A função negamax chama-se a si mesma
computador de xadrez mais comunica- placa ATM18 bastam sete condutores, até atingir a condição de parada (como
por exemplo, ter atingido a profundidade
máxima de procura). As funções recursi-
Sobre o programa vas requerem capacidade de armazena-
mento para a pilha de chamadas, para
O programa de Andre Adrian é todo ele um exemplo de programação muito elegante,
guardar os valores das variáveis locais e
incluindo funções para ler o teclado com proteção contra oscilações das teclas (boun- dos registros da CPU. Contudo, é sempre
cing) e auto-repetição, economia de energia e rotinas de interrupção, e a implemen- possível reescrever uma função recursiva
tação da variação principal na tela. Os leitores interessados e com um conhecimento de uma forma iterativa: em vez da função
básico de linguagem C vão certamente aprender bastante ao analisar o código comen- chamar a si mesma, entra em loop. Deixa
tado. Este código fonte está disponível gratuitamente, assim como todos os outros ar- de haver a necessidade de preservar os
quivos necessários para este projeto, no site da Elektor. registros do processador. Para funções
simples, como o cálculo de fatoriais ou

54 04-2010 elektor
os elementos de uma sequência de Fibo-
nacci, a conversão para a forma iterativa Sistema de classificação Elo
é trivial. O algoritmo negamax também
O sistema de classificação Elo é uma forma de classificar a capacidade de um jogador de
pode ser modificado desta forma, e foi
xadrez numa escala numérica. Foi proposta por Arpad Elo e adotada pela federação de
precisamente isto que Andre Adrian fez.
xadrez Norte Americana em 1960. Em 1970 foi também adotada pela FIDE, e tornou-
A sua implementação requer apenas 34
bytes de memória por cada meia-jogada -se a norma aceite internacionalmente. Foi posteriormente renomeada para FIDE Rating
(uma jogada de um único jogador). Para System, embora o termo Elo Rating seja ainda de uso frequente. Alguns países adotam
guardar o tabuleiro de xadrez no formato outros sistemas de classificação próprios, em paralelo com o sistema FIDE.
‘0x88’ são necessários 129 bytes de RAM. Um principiante tem normalmente uma classificação Elo abaixo dos 1000. Os amadores
Com 1 kB de RAM o limite de profundi- estão normalmente entre os 1200 e os 2000, enquanto os peritos e os quase mestres
dade para pesquisa da melhor jogada estão entre os 2000 e os 2200. Os mestres têm classificações entre os 2200 e os 2500.
é portanto de 20 meias-jogadas. A ver-
Além desta marca, só mesmo os grandes mestres, ou os Super-grande-mestres, têm va-
são iterativa não requer mais espaço em
lores acima dos 2700.
ROM do que a versão recursiva, uma vez
que o acesso à pilha, relativo a um ende- Existem também listas de classificações Elo para computadores de xadrez. Entre as mais
reço base, ocupa sensivelmente a mesma conhecidas estão a lista SSDF (http://ssdf.bosjo.net) e a lista Wiki Elo (http://www.
quantidade de código no microcontro- schach-computer.info/wiki/index.php/Wiki-Elo-Liste_En).
lador ATmega que o acesso por matriz
(array) que o substitui. nal para se adequar às suas preferências, capaz de jogar numa classe entre Elo 1200
O programa AVR-Micro-Max pode tam- e testar o resultado no simulador imedia- e Elo 1399 (consulte a caixa) em condi-
bém ser executado no simulador AVR tamente. O compilador GCC é obviamente ções de torneio (120 minutos para as
Studio (a versão gratuita é adequada disponibilizado gratuitamente e sem qual- primeiras 40 jogadas). Isto corresponde
para este fim). Se sentir essa necessidade, quer limitação arbitrária. à classe D para amadores, um nível ade-
pode sempre modificar o programa origi- O nosso objetivo é que o programa seja quado ao jogador ocasional. O programa

O jeito mais fácil de completar sua coleção e ainda ficar


andando com ela por todos os laboratórios e oficinas.
APROVEITE! OFERTA POR TEMPO LIMITADO: R$ 63,00
Chegou o novo CD Rom da
revista Elektor, agora
completando a coleção e
chegando até a edição 80 da
revista, com todos os artigos e
projetos integralmente como
foram publicados.
Uma autêntica ferramenta de
trabalho para quem quer tirar
dúvidas e consultar sobre
componentes com toda a
PR 16

agilidade e precisão.

RESERVE LOGO O SEU!


ATENDIMENTO AO CLIENTE
Tel/Fax: (11) 4195-8591
www.assinebolina.com
www.elektorbrasil.com.br
HARDWARE & SOFTWARE

tem 9 níveis disponíveis. O nível 1 é o


modo mais rápido, demorando apenas
7 segundos por jogada; o nível 5 é ade-
quado para jogos rápidos, com cerca de
30 segundos por movimento, e o nível 8
é pensado para torneios, demorando 3
minutos por jogada. Ao ligar o computa-
dor este assume o nível 3.
Os amadores jogam tipicamente com uma
força entre Elo 1400 e Elo 1700, e é pouco
frequente que jogadores sem experiência
tenham pontuações acima de Elo 1800.
Embora este computador não seja capaz
de jogar a níveis tão elevados, consegue
ainda assim oferecer um jogo desafiante.

Operação
Assim que o software tenha sido progra-
mado no módulo microcontrolador e que Figura 5. Quando a alimentação é ligada o computador convida o leitor a efetuar a
o teclado, mostrador e fonte de alimen- sua primeira jogada.
tação estejam conectados, o computa-
dor está pronto a funcionar. Tudo se torna
mais fácil se tiver ao seu lado um tabu- simplesmente o botão GO, sem introduzir Para facilitar as coisas pode começar por
leiro de xadrez real, no qual faz as jogadas qualquer jogada, o computador vai assu- jogar as primeiras jogadas num nível mais
à medida que o jogo avança. mir o seu lado durante o resto do jogo. Se baixo e em seguida subir o nível assim que
Ao ligar o circuito o texto indicado na pressionar GO repetidamente, pode obser- a abertura terminar. O computador não
Figura 5 aparece no mostrador. Pode- var o computador a jogar contra si próprio. dispõe de um livro de aberturas. É possí-
se agora entrar uma jogada, como por vel forçar o computador para uma aber-
exemplo d2d4 (P-Q4), carregando na O botão FN faz aparecer um menu com tura específica entrando manualmente
seguinte sequência de botões: três opções: os movimentos das peças brancas, ou o
D4, B2, D4, D4 das peças pretas, carregando no botão
O mostrador LCD apresenta então: 1. Pressionar A1 começa um novo jogo. GO apenas uma vez em cada movimento.
Your move: D2D4 2. Pressionar B2 seguido de outro botão Uma abertura popular começa como 1.
Corrija as coordenadas ou carregue em GO seleciona um novo nível, A1 a H8 permi- e2e4 e7e5 2. g1f3 b8c6 (1. P-K4 P-K4 2.
para prosseguir. tem selecionar os níveis 1 a 8, ‘FN’ sele- NKB3 N-QB3).
ciona o nível 9.
Se a sua jogada for legal, o computador 3. Pressione C3 para ativar ou desativar a Se o sistema ATM18 for utilizado perma-
vai agora calcular a sua própria jogada. apresentação da variação principal (PV). nentemente como um computador de
Se isto demorar ainda algum tempo, é xadrez é boa idéia instalá-lo numa caixa
apresentado o texto “please wait”. Final- Quando é ativada a apresentação PV, o adequada, cujos detalhes deixamos à
mente, a jogada do computador aparece computador vai mostrando aquela que imaginação de cada leitor. Boa sorte!
no mostrador, assim como um convite é a melhor jogada que encontrou até ao
para introduzir a sua próxima jogada. Se momento, de acordo com os seus cálcu- (080947-1)
esta não for válida, é apresentada uma los. De cada vez que o algoritmo de busca Artigo original: ATM18 Mini Chess Computer
mensagem de aviso no mostrador. de primeira profundidade volta à raiz da September 2009
Se um dos jogadores for posto em xeque- árvore de jogo, o computador atualiza a
-mate, a mensagem “Checkmate!” apa- sua idéia de melhor jogada encontrada Referências
rece no mostrador. O botão CL é utilizado até ao momento. Verifica em seguida se [1] www.zuse.de/Konrad_Zuse/index.
para corrigir jogadas erradas antes de se utilizou todo o seu tempo para a jogada html
pressionar o botão GO. Ao ligar o com- atual. Se o tempo tiver esgotado, esta [2] www.zib.de/zuse/English_Version/
putador este assume as peças pretas. Se torna-se a jogada do computador. Se index.html
carregar em GO nesse instante, o com- contudo ainda houver tempo disponível, [3] www.andreadrian.de/schach (em
putador assume as peças brancas e como o programa aumenta a profundidade de alemão)
tal faz a primeira jogada. O botão GO tem busca em meia-jogada e repete a pes- [4] www.computerhistory.org/chess/
ainda um uso adicional. Se o pressionar quisa. Este processo é chamado de pro- [5] www.geocities.com/SiliconValley/
sem introduzir uma jogada, o computa- fundidade iterativa. Lab/7378/comphis.htm
dor calcula a sua próxima jogada. Isto pode [6] http://home.hccnet.nl/h.g.muller/
ser usado para mudar de lados durante o Xeque-mate max-src2.html
jogo: se estiver praticamente perdendo Vai descobrir que não é assim tão fácil [7] http://chessprogramming.wikispaces.
o jogo para o computador, ao pressionar bater o computador nos níveis mais altos. com/Harm-Geert+M%C3%BCller

56 04-2010 elektor
HARDWARE & SOFTWARE

Microcontroladores para ini


Arduino para os iluminados
Clemens Valens (Elektor)

Aparentemente Arduino é um nome italiano, mas se pesquisar na Internet vai en-


contrar também dezenas de referências associadas à eletrônica e programação,
muitas delas, curiosamente, ligadas também à arte. O que é então o Arduino?

Assim à primeira vista, Arduino [1] consiste uma pequena zado para escrever e compilar o programa, de acordo com
placa com um microcontrolador e uma porta USB (Figura 1), a aplicação, antes de programar a placa.
disponível em várias versões. Existem até versões muito As aplicações não são escritas em linguagem C, mas sur-
pequenas, para vestuário inteligente, por exemplo. A placa preendentemente numa linguagem semelhante. Todas
Arduino é programada numa linguagem semelhante ao C, as instruções e funções existentes nesta linguagem tor-
utilizando ferramentas Open Source disponíveis para Win- nam desnecessário conhecer os detalhes da arquitetura do
dows, MAC e Linux. Tal como acontece com o software, microcontrolador. Existem funções para quase tudo o que
também o hardware é open source, sendo possível baixar possa ser necessário numa dada aplicação. Funções com
gratuitamente da Internet o diagrama do circuito e o dese- entradas e saídas analógicas e digitais, algumas funções
nho das placas de circuito impresso. O Arduino é muito matemáticas, funções de gestão temporal e outras para
utilizado por artistas que pretendem utilizar a eletrônica comunicações síncronas (SPI) e assíncronas (UART).
nas suas criações.
As funções para as entradas e saídas digitais permitem
Quando se olha mais atentamente, para o Arduino, des- manipular os níveis lógicos dos pinos para leitura ou
cobre-se que não é exatamente um microcontrolador. De escrita. Existe também uma função especial que torna pos-
fato, o coração do Arduino é simplesmente um microcon- sível medir a duração de um impulso. Com as funções ana-
trolador de 8 bits da Atmel. Os primeiros vinham equi- lógicas é possível ler valores de tensão nos pinos e gerar
sinais PWM. Estas funções são mais do que suficientes para
várias aplicações. A grande vantagem do Arduino é a não
necessidade de lidar com registros e configurações com-
plexas para gerar uma saída PWM ou para criar um conta-
dor. O trabalho mais complicado já está todo feito.

Se estas funções não forem, mesmo assim, suficientes,


existe sempre a possibilidade de programar em um nível
mais baixo e, tal como acontece com o C standard, adi-
cionar novas bibliotecas e funções. Mas, é preciso ter em
conta que ao fazê-lo corremos o risco de perder a compa-
tibilidade com o resto da comunidade Arduino.

Sim, o Arduino é uma placa com microcontrolador, um


software de desenvolvimento , uma linguagem de progra-
mação e também uma comunidade! De fato, o Arduino
é mais uma filosofia, que populariza uma tecnologia e a
Figura 1. Uma placa Arduino Diecimila. A nova Duemilanove é praticamente idêntica. coloca ao alcance de todos. Trata-se da sequência lógica
Estas placas são muito baratas e fáceis de encontrar. após as linguagens Processing [2] e Wiring [3]. A Proces-
sing consiste numa linguagem programável multimidia e
pados com um ATmega8 e os mais recentes com um Wiring num ambiente de desenvolvimento para eletrônica
ATmega168. O microcontrolador é carregado com um artística. A caixa de texto mais à frente explica mais sobre
bootloader, que permite carregar uma aplicação no micro- as origens do Arduino e a sua relação com a arte.
controlador através da porta serial, sem necessidade de o Elektorino
reprogramar pela porta ISP. Como os computadores mais Programação gratuita e simples desperta sempre o inte-
recentes já não possuem porta serial, é muitas vezes uti- resse de alguém. Além disso, a eletrônica envolvida parece
lizada a porta USB. Tudo isto se transforma no Arduino, ser tão simples, que se torna incontornável a idéia de criar
quando se atribui aos pinos do controlador certas funções. um sistema compatível com o Arduino.
O ambiente de desenvolvimento do Arduino pode ser utili- O ponto de partida vai ser a placa base do Arduino –

58 04-2010 elektor
ciantes

Arduino Serial. O PC utilizado para o protótipo são do bootloader correta. Como


ainda tinha uma porta serial, mas optou-se por usar um no Elektorino esse controlador não
cabo USB-TTL [4]. De qualquer modo, precisaríamos de um existe, optamos por utilizar o bootlo-
adaptador para os níveis de tensão TTL. ader mais básico para os Arduinos da
nova geração.
O processador escolhido foi o ATmega168, com uma velo-
cidade de 16 MHz. Este microcontrolador é perfeitamente Mas existe ainda uma outra opção, na forma de um ter-
capaz de funcionar a 20 MHz, mas o bootloader standard ceiro bootloader, chamado ADABOOT [6]. Este bootloader,
assume uma velocidade de apenas 16 MHz. Obviamente, é uma versão melhorada trata do reset e do atraso para o
possível alterar o próprio bootloader para mudar esta res- início do programa de modo diferente. Ambos os bootlo-
trição, mas a nossa intenção era apenas uma placa Arduino
que funcionasse.
Para terminar a placa basta acrescentar um LED, um botão J2 +5V +5V
de Reset, algumas resistências e capacitores e dois conec- 1

tores: um para a porta serial e outro para programar o boo- 2 R9


3
10k

tloader. Este último conector só é necessário para progra- 4


R7 C3
1k
mar o microcontrolador pela primeira vez. Claro que pode 5
1k 100n
S1
sempre ser utilizado mais tarde, para programar direta- 6
R8

mente o microcontrolador a partir da aplicação, poupando COM 21 7 20 RESET


assim memória, pois o bootloader deixa de ser necessário. J3 AREF VCC AVCC
O LED serve vários propósitos. Como está presente em 1 PIN0 2
PD0 PC6
1 RESET J5
várias versões da placa Arduino, são lhe atribuídas diver- 2 PIN1 3
PD1 PC5
28 IN5 6
3 PIN2 4 IC1 27 IN4 5
sas funcionalidades. É utilizado também pelo bootloader, 4 PIN3 5
PD2 PC4
26 IN3 4
PD3 PC3
que o faz piscar durante a partida. 5 PIN4 6
PD4 PC2
25 IN2 3

O circuito final pode ser visto na Figura 2. Graças à simpli- 6 PIN5 11


PD5 PC1
24 IN1 2
7 PIN6 12 23 IN0 1
cidade do circuito, este pode ser construído numa simples 8 PIN7 13
PD6 PC0
PD7 +5V
placa para protótipos. ATmega168
J1
Infelizmente, não podemos atribuir o nome Arduino à 14
PB0 PB5
19
15 18 MISO 1 2
nossa placa. Só as placas aprovadas pela comunidade têm J4
16
PB1 PB4
17 SCK 3 4
PB2 PB3
direito a isso. Por causa disso surgiu um segundo movi- 1
2
PIN8
PIN9
5 6

mento designado por Freeduino [5], que permite a utili- 3 PIN10


GND PB6 PB7 GND
8 9 10 22 ISP
zação deste nome para placas feitas em casa. De qualquer 4 PIN11
X1
MOSI

modo, é apenas um nome, e a nossa podemos sempre cha- 5


6
PIN12
PIN13
R10

mar de Elektorino. C1 16MHz C2


1k5

Implementação 22p 22p


D7
Antes de carregar uma aplicação na placa Elektorino,
é preciso carregar o bootloader. É aqui que as coisas se
complicam um pouco. Existem dois bootloaders oficiais 080931 - 11
do Arduino. Aparentemente, a única diferença é no modo
como a aplicação é iniciada, depois de ser carregada. O Figura 2. O diagrama de circuito para o Elektorino. Trata-se de um circuito muito
ambiente Arduino suporta a utilização de um controlador simples. Os conectores para os pinos não são estritamente necessários, são apenas
para o Reset, se tal existir na placa e se esta tiver a ver- utilizados para referência.

59
HARDWARE & SOFTWARE

baixar um arquivo e descompactá-lo.

Depois de executar o ambiente Arduino (Arduino.exe)


aparece uma janela semelhante à apresentada na Figura
3. Vá ao menu Tools e depois Board, e escolha o tipo de
placa que está sendo utilizada. Obviamente, a placa Elekto-
rino não aparece, mas se escolher uma placa NG com o
ATmega168 não deverá haver problemas.
É também necessário selecionar a porta serial que será
usada para programar o microcontrolador. Pode fazê-lo
em Tools e depois Serial Port. Se pretender utilizar uma
porta serial USB verifique antes se os controladores neces-
sários estão instalados.
O Arduino vem com um pequeno programa exemplo, cha-
mado Blink, que serve para verificar se a placa está funcio-
nando. O procedimento é muito simples:

- Abra o programa exemplo em File>Sketchbook>Exampl


es>Digital>Blink.
- Compile, pressionando o botão Verif/Compile. Esta ope-
ração demora apenas alguns segundos e, se tudo estiver
bem, termina com uma mensagem indicando isso mesmo.
- Baixe o programa para o Elektorino. Primeiro, pressione o
botão de Reset por alguns momentos. Em seguida, clique
Figura 3. O ambiente de desenvolvimento do Arduino.
no botão Upload. Se estiver utilizando o ADABOOT, após
aders funcionam bem no Elektorino, mas o ADABOOT é alguns segundos vai ver o LED piscando aleatoriamente,
mais aconselhável, porque faz piscar o LED quando a apli- sinal de que o programa está sendo carregado no micro-
cação está sendo carregada na placa e é também mais fácil controlador. Depois de alguns segundos, dependendo do
de utilizar. tamanho do programa, o microcontrolador é reiniciado. Se
Na caixa de texto pode encontrar instruções para carregar o LED começar a piscar uma vez por segundo, estão está
o bootloader no controlador. tudo bem, o Elektorino funciona! Se não piscar tente rei-
Hello world! niciar a placa.
Depois de programar o bootloader, é hora de verificar se Uma aplicação real
o Elektorino interage corretamente com o ambiente de É interessante ter uma placa que funciona bem no
desenvolvimento do Arduino. A instalação deste ambiente ambiente Arduino. Mas, melhor ainda seria ter essa mesma
de desenvolvimento no Windows é muito simples, basta placa funcionando com uma aplicação real. Como o autor

Bootloader
Não é difícil carregar o bootloader no microcontrolador, desde que possua toda a informação necessária. Para poupar algumas horas
de pesquisa na Internet, resumimos tudo aqui em algumas linhas.

Primeiro, precisa de um programador. Existem várias possibilidades, como alguns publicados pela Elektor, ou o programador com-
patível com o SK200, ou mesmo construindo o circuito apresentado na página do PonyProg [7]. Na página do Arduino [1] também é
proposto um programador para a porta paralela, muito simples e que pode ser utilizado diretamente no ambiente Arduino.

Em seguida, escolha a versão do bootloader que vai utilizar. Recomenda-se o ADABOOT [6]. A versão NG, mais simples, também
funciona perfeitamente bem.

Quanto ao programa, pode utilizar o AVRDUDE [8], fornecido com o Arduino. Trata-se de uma aplicação típica para UNIX, basica-
mente gratuita e com muitas opções de difícil compreensão. Por ser muito fácil cometer erros, veja aqui os comandos que funcionam
bem (copie o bootloader para o diretório que contém o executável AVRDUDE):

avrdude -p m168 -c pony-stk200 -V -e -U lock:w:0x3F:m -U hfuse:w:0xDF:m -U lfuse:w:0xFF:m -U efuse:w:0x0:m


avrdude -p m168 -c pony-stk200 -V -D -U flash:w:ATmegaBOOT_168_ng.hex
avrdude -p m168 -c pony-stk200 -V -U lock:w:0x0F:m
Se utilizar outro programador, substitua pony-stk200 pela referência apropriada. Verifique também o nome do bootloader.

Estes três comandos permitem carregar o bootloader no microcontrolador. Depois de programado o bootloader, a parte da memória
onde este reside fica fechada, para evitar que o próprio bootloader se apague acidentalmente. Para perceber exatamente o que se
passa dê uma pesquisada nas instruções que acompanham o AVRDUDE.

Na página Lady ADA [9] pode encontrar muita informação útil sobre o AVR.

60 04-2010 elektor
tinha 10 potenciômetros motorizados na gaveta, porque
não efetuar o controle destes com o Elektorino? O Elekto-
rino tem entradas analógicas e saídas PWM – tudo o que é
necessário para controlar um motor. Note que este circuito
pode ser utilizado com qualquer placa Arduino baseada no
processador ATmega168.
O potenciômetro em causa (Figura 4) consiste no
potenciômetro propriamente dito, um pequeno motor e as
engrenagens necessárias para que o motor possa rodar o
potenciômetro em ambas as direções. O sistema mecânico
permite que o motor rode livremente, quando o potenci-
ômetro já não o puder fazer, como por exemplo, quando
já se encontra num dos extremos. A única informação dis-
ponível sobre estes potenciômetros eram as letras 10K B.
Todavia, algumas experiências permitiram mostrar que o Figura 4. Um potenciómetro com motor de um fabricante não identificado.
motor roda a uma velocidade razoável se for alimentado
por 12 V. Neste caso, o consumo é de cerca de 200 mA. IC2
A letra B, marcada no potenciômetro, levou a pensar que +12V 7805 +5V +5V

significava que os potenciômetros fossem logarítmicos, IN0

como costuma ser o caso, mas as medições provaram o C4 C5


R11

contrário, ou seja, são lineares. 1


25V
100n

+12V
10k

Para controlar a energia fornecida ao motor optou-se


por uma ponte em H dupla modificada, com apenas duas
R2 R3 R6 R5
linhas de controle e três estados: rotação no sentido dos
2k2

2k2

2k2

2k2
ponteiros do relógio, rotação no sentido contrário ao dos T3
D2 D5
T4
2x
ponteiros do relógio e estado travado (Figura 5). Normal- 1N4001

mente, com dois sinais de controle conseguem-se quatro D1


BD139 M1 BD139
D4

estados, mas neste circuito os sinais 00 e 11 produzem o M


1N4001 1N4001
mesmo resultado. A alimentação do circuito de controle T2
D3 D6
T5

é regulada por um regulador de tensão de 5 V e o motor 2x


1N4001

alimentado por 12 V. Os transistores (todos NPN) formam PIN9 R1


T1 BD139 BD139 T6
R4 PIN10

uma ponte capaz de controlar uma corrente de 200 mA 5k6 5k6

com facilidade. No protótipo foram utilizados transisto- BC547 BC547

res BD139. 080931 - 12

O potenciômetro está montado como um simples divisor


resistivo. Medindo a tensão no seu ponto móvel consegui- Figura 5. Ponte em H com três estados modificada. As designações das entradas do
mos saber em que posição se encontra (desde que seja um circuito referem-se aos nomes dos pinos do Elektorino.
potenciômetro linear).
Os sinais de controle têm de ser ligados a saídas digitais,
capazes de fornecer um sinal PWM. Num Arduino base-
ado no ATmega168 temos seis portas desse tipo. O ponto
móvel do potenciômetro pode ser ligado a qualquer
entrada analógica, neste caso na in0.
O programa
Agora que ligamos o controlador do motor ao Elektorino
(Figura 6) resta apenas programar o software. É aqui que
se consegue perceber o verdadeiro poder do Arduino, o
programa torna-se verdadeiramente simples.
Basicamente, o programa é composto por duas funções:
setup() e loop(), chamadas por rotinas a um nível mais
baixo. A função setup() é chamada uma única vez, e lida
com tudo o que esteja relacionado com a inicialização do
sistema, como por exemplo, as configurações das portas
de entrada e saída e da porta serial.
Quase todos os programas passam a maior parte do tempo
em rotinas infinitas. No Arduino, esta rotina já está imple- Figura 6. O protótipo do Elektorino. O ATmega168 está à esquerda e a ponte em H
mentada, na forma de uma função loop(). Esta função é para controlar o motor à direita.
chamada periodicamente e pode ser vista como a rotina
principal do Arduino. É importante não esquecer que se acontece porque no Arduino, os pinos estão definidos
trata du ma função especial, apesar de se parecer com uma por definição como entradas, sendo que só necessita-
função normal. As suas variáveis são reinicializadas de cada mos de alterar a configuração de dois pinos. A porta
vez que é chamada. Caso se pretenda que mantenham o serial vai ser utilizada para controlar o circuito, sendo
seu valor, então têm de ser declaradas como globais (sta- que também tem de ser inicializada. Graças à simplici-
tic, em linguagem C). dade oferecida pelo Arduino, tudo o que temos de fazer
é indicar a velocidade de comunicação pretendida, neste
A função setup() neste projeto está quase vazia. Isto caso, 9600 baud.

61
HARDWARE & SOFTWARE

Processing, Wiring e Arduino


Processing [2] é uma linguagem e um ambiente de desenvolvimento de código fonte aberto para a programação de imagens, anima-
ções e interação. O projeto, uma iniciativa de Ben Fry e Casey Reas, é baseado nas idéias desenvolvidas pelo grupo de computação
estética do laboratório do MIT (Media Lab). O Processing foi criado para ensinar o fundamental na programação em contextos visuais
e para servir como ferramenta profissional de produção. Funciona sobre o Linux, Mac OS X e Windows.

Existem vários livros sobre esta linguagem.

Tal como o Arduino, o Wiring [3] é um programa de desenvolvimento com uma placa associada, para explorar a programação em
eletrônica e facilitar a construção de protótipos. O Wiring, programado utilizando o Processing, foi uma iniciativa de Hernando
Barragán e foi desenvolvido no Interaction Design Institute Ivrea (IDII), na Itália.

O Arduino [1] é uma plataforma para protótipos. Foi desenhado para aqueles que desejam criar sistemas eletrônicos, obras de arte
e objetos que interajam com o ambiente que os envolve. Foi criado por Massimo Banzi, Gianluca Martino, David Cuartielles e David
Mellus. Utiliza uma linguagem de programação baseada no Processing e pode ser visto como uma simplificação do Wiring.

na direção oposta. Este processo pode mesmo entrar em


O movimento do motor é realizado dentro da rotina loop. oscilação.
O princípio de funcionamento é muito simples: se a tensão Para evitar que isto aconteça, foi utilizado um controlador
medida no terminal móvel do potenciômetro for diferente PD (Proporcional Diferencial). Neste tipo de reguladores,
da pretendida, o motor faz mover esse terminal no sen- o sistema atinge o valor final sem o ultrapassar, graças ao
tido que minimiza essa diferença. Na verdade, isto é um ajuste constante do sinal de acordo com a diferença entre
pouco mais complexo do que isso. Para começar, existe o o valor atual e o pretendido. No início do movimento,
quando o erro é grande, o sinal de correção é elevado.
Programador compatível com o STK200, utilizado pelo autor. Existem ainda Assim que o erro começa a reduzir, também a intensi-
programadores mais simples. dade do sinal de controle vai diminuindo, abrandando o
D8 movimento.
1N4148
O sinal de correção é composto por duas componentes:
uma proporcional ao erro (P) e outra proporcional à redu-
20 C6
IC3 R12
100n
ção do erro (D). A implementação correta deste tipo de
100k

10

controle permite obter um movimento rápido e sem que


MISO o valor final seja ultrapassado.
IC3 No programa (Listagem 1) pode ver-se a implementação
LPT

1
1
19
EN1 do controle dentro da função loop. Primeiro, a tensão no
14
15
2
EN2
terminal móvel do potenciômetro é medida. A partir deste
16
3
4 2 1D1 18 MOSI J7 valor calcula-se as componentes P e D necessárias para a
17
18
5 4 16 LED 1 2 geração do sinal de correção. A componente P é obtida
multiplicando o erro por uma constante Kp. A componente
6 6 14 SCK 3 4
19
7 8 12 5 6
20
21
8 11
1D2
9
D é obtida multiplicando a diferença entre o valor atual e
9 13 7 RESET ISP
22
23
10 15 5 o valor anterior por uma constante Kd. Os valores destas
24
11
12
17 3
constantes são obtidos por experimentação e podem ser
25
13
74HCT244
alterados para ver como afetam o movimento. Trata-se de
080931 - 13
uma experiência muito enriquecedora.
As duas componentes são depois combinadas e o resul-
tado adaptado a uma gama de valores utilizáveis. O poten-
problema da direção, mas mais importante é o problema ciômetro não se move para valores inferiores a 50 e o valor
da inércia. Depois de começar a mover-se, é sempre neces- máximo para o sinal PWM é 255.
sário algum tempo para que este pare, podendo causar Em seguida verifica-se o erro já é suficientemente pequeno
a ultrapassagem do valor pretendido, caso o travamento para se poder parar o motor. A comparação tem de ser
seja efetuado. Quando isso acontece, o motor tem que ser feita nos dois sentidos. Existe uma pequena margem
então deslocado ligeiramente no sentido contrário, outra de erro, pois a perfeição é algo muito difícil de alcançar.
vez com o risco de ultrapassar novamente o valor, agora Quando o erro é suficientemente pequeno, o sistema de

AVR ISP via USB


Se utilizar o integrado FT232R, como interface USB, e tiver acesso aos seus pinos, então pode utilizá-lo também para carregar o
bootloader no microcontrolador, evitando a utilização de um programador ISP. Este integrado possui um barramento CBUS, com uma
função que permite manipular os pinos individualmente. O Sr. Suz, do Japão, escreveu um pequeno programa que explora esta
possibilidade e que pode ser baixado gratuitamente da Internet. Este só funciona em Windows e, infelizmente, a sua página só está
disponível em japonês (suz-avr.sblo.jp/article/4438871.html). Contudo, na mesma página, existe uma breve explicação em
inglês sobre como programar o Arduino, utilizando esta ferramenta [10].

62 04-2010 elektor
controle é desligado, para permitir a atuação manual do
potenciômetro. Listagem 1. Simplesmente, simples!
Quando o potenciômetro é libertado, o sistema começa void loop()
a enviar a posição deste periodicamente (dez vezes por {
segundo). A porta serial é também lida periodicamente, int error;
e assim que forem recebidos quatro caracteres, estes são int val;
transferidos para o programa como o novo valor preten- int spd;
dido para o potenciômetro, e o sistema de controle é rea- float spd_p, spd_d;
tivado. A formatação dos dados recebidos não é verificada.
O sistema espera receber pela porta serial quatro dígitos // read wiper voltage.
val = analogRead(slider);
ASCII com valores entre 0000 e 1023. Para minimizar os
erros, este valor é limitado pelo sistema uma faixa entre
// Calculate error.
0003 e 1020. Deste modo evita-se que o sistema oscile nas error = val - target;
posições inicial e final.
A porta serial não é utilizada enquanto o motor está fun- // Calculate proportional component P.
cionando, para evitar interferências que poderiam resultar // Two directions – so use absolute value.
num movimento errado ou mesmo em oscilação. spd_p = abs(error)*Kp;
(080931-1)
// Calculate differential component D.
spd_d = (last_error-error)*Kd;
last_error = error;

// Now mix P and D.


spd = int(spd_p+spd_d);

// Do not exceed limits.


spd = constrain(spd,0,255);
// Compensate friction.
if (spd<50) spd += 50;

if (error<-1 && stop==0)


{
// To maximum value (“left”).
digitalWrite(motor2,LOW);
analogWrite(motor1,spd);
}
else if (error>1 && stop==0)
{
// To minimum value (“right”).
digitalWrite(motor1,LOW);
analogWrite(motor2,spd);
}
else
{
Artigo original: Microcontrollers for Dummies... – February 2009 // Shut down motor
digitalWrite(motor1,LOW);
digitalWrite(motor2,LOW);
Referências stop = 1;
[1] http://Arduino.cc
// Transmit cursor position.
[2] www.processing.org Serial.println(val);
[3] http://wiring.org.co delay(100);
[4] http://www.elektor.com.pt/revistas/2008/setembro/
cabo-serie-usb-ttl.665066.lynkx // 4 characters form a new target value.
[5] www.freeduino.org if (Serial.available()>=4)
[6] http://nearspacevermont.org/TheShoppe/freeduino/ {
ADABOOT.shtml target = Serial.read()
[7] www.lancos.com/prog.html - ‘0’; // Thousand.
[8] www.bsdhome.com/avrdude target = Serial.read() - ‘0’
[9] www.ladyada.net/learn/avr/index.html + target*10; // Hundred.
target = Serial.read() -
[10] www.geocities.jp/Arduino_diecimila/bootloader/
‘0’ + target*10; // Ten.
index_en.html
target = Serial.read() -
‘0’ + target*10; // One.
“Getting Started with Arduino”, Banzi, Massimo, O’Reilly, constrain(target,1,1022);
2008. // Start motor
“Making Things Talk”, Igoe, Tom, O’Reilly, 2007. stop = 0;
A placa Duelmilanove Arduino está disponível em vários }
sites incluindo o FunGizmos (EUA), LittleBird (Austrália), }
SKPong (Reino Unido), Tinker (Itália), Make Magazine }
(Makershed.com).
63
“O ruído não é bom!”
Ton Giesberts (Elektor) receptores de rádio discretos onde as características do ruído são
muito importantes. Mas também aqui há alterações acontecendo.
Durante os últimos anos nós não temos muito nos preocupado Recentemente, publicamos um pequeno receptor FM (Mini recep-
com o ruído em sistemas de áudio. No passado, e com isso que- tor FM VHF, Setembro de 2009), onde o receptor inteiro está num
remos dizer a era pré-CD, o ruído de um leitor de cassetes, lei- único circuito integrado. Para projetos RF, as vezes, é difícil justi-
tor de discos ou de um rádio FM era algo com que tínhamos que ficar um projeto com componentes discretos, uma vez que atual-
aprender a conviver. Se tivesse um sistema Hi-fi os problemas esta- mente quase tudo pode ser tão pequeno. A vantagem de um pro-
vam quase todos resolvidos. Agora, que quase tudo é digital, até jeto com componentes discretos é que não é preciso fazer nenhum
mesmo a imagem e o som de uma televisão, parece que o ruído compromisso em nenhuma parte do circuito – no máximo, o custo
para a maioria dos projetos de áudio já não é um problema. Será total será mais um fator determinante do projeto final. Um exem-
que o ruído está fora de moda? plo é um antigo artigo publicado, onde usamos um transistor PNP
duplo para o estágio de entrada (menor ruído de baixa frequência
Em outras áreas da eletrônica o ruído ainda continua sendo muito do que uma versão NPN), para obter o menor ruído de entrada
considerado durante a fase de projeto. Pense na precisão da con- possível. Atualmente usamos um ampop perfeitamente normal
versão do sinal de um sensor que ainda precisa de amplificação para isso (por exemplo, o TL071). Para evitar mais compromissos,
analógica, conversão e filtragem, antes de poder ser digitalizado. os pequenos capacitores que eram usados para a malha de corre-
Com sinais de microfone, os zumbidos, ruídos e interferências de ção não consistiam em dispositivos standard de poliéster, mas sim
outros sinais são critérios importantes nas atuações ao vivo ou em dispendiosos dispositivos de styroflex com dielétrico de polies-
em estúdio. Assim que a gravação esteja num formato digital, tireno. Para valores mais elevados usavamos capacitores do tipo
os maiores problemas estão superados. O problema do ruído é MKP com dielétrico de polipropileno. Os capacitores de poliesti-
assim alterado para a frequência de amostragem, o número de reno de maior valor eram também fabricados pela Siemens, mas
bits, as operações digitais e mistura. entretanto a produção foi interrompida.
Com a chegada do CD e da era digital os problemas tornaram-
se mais complexos e difíceis de compreender. Por exemplo, Também como exemplo, temos o amplificador para microfone
abordemos a eterna questão sobre se o som de um LP é mais simétrico publicado anteriormente. Para obter uma boa qua-
fiel do que de um CD. As especificações de ruído num sistema lidade a tensão fantasma tem que estar livre de ondulações e o
analógico são muito mais informativas para a maioria das pes- amplificador precisa ter um baixo nível de ruído. O núcleo do cir-
soas do que as especificações de um filtro de fase linear digi- cuito era constituído por um amplificador de áudio simétrico da
tal. O ruído deste último é geralmente tão baixo que a maio- Analog Devices com um encapsulamento do tipo DIP de 8 pinos
ria das pessoas tem imensa dificuldade em compreender isso. (atualmente, existem alternativas compatíveis como o SSM2019
A faixa dinâmica dos codecs mais modernos é muito superior e INA217). Com um ruído de entrada de 1 nV/√Hz (para um ganho
à do ouvido humano. A reprodução da pressão sonora de um de 1000 vezes) isto é muito difícil de igualar com um projeto com
foguete Saturno na decolagem ( é cerca de 195 dB) não sendo componentes discretos, tendo em conta a mesma quantidade de
necessária na nossa sala de estar. espaço. Entretanto, foram lançadas versões melhoradas. No data-
sheet do SSM2019 pode-se encontrar uma aplicação onde o ruído
Você leitor pode pensar que o limite do que é necessário e útil foi de um amplificador de microfone é calculado com base nas fontes
já alcançado. Na revista Elektor, os circuitos de áudio adquiriram de ruído individuais: impedância do microfone, ruído da corrente
uma posição diferente nos últimos anos. O mundo da eletrônica e ruído de tensão das entradas. A influência do ruído de corrente
nos dias que correm gira em torno dos microprocessadores, FPGAs é frequentemente desprezada, porque não é normalmente iden-
e outros do gênero. Com a exceção do relançamento do LP e de tificada nos datasheets. Uma vez que as fontes de ruído individu-
alguns amplificadores a válvulas parece haver pouco que se possa ais não são correlacionadas não podem ser simplesmente soma-
dizer sobre os amplificadores de potência e pré-amplificadores dis- das para obter o ruído total, mas pode calcular a raiz quadrada da
cretos dos bons e velhos tempos. Os sistemas de som surround soma dos quadrados das fontes individuais para obter esse valor.
têm um custo quase insignificante hoje em dia e possuem mais Se quiser saber um pouco mais sobre os diferentes tipos de ruído,
funcionalidades das que possa imaginar e necessitar. Além disso, recomendamos o artigo da Hameg, em www.hameg.com: “What
a maioria das pessoas fica feliz se ouvir alguns arquivos de música is noise?”.
fortemente comprimidos em MP3 com um fone de ouvido barato
(com níveis demasiadamente altos). O verdadeiro trabalho
Mas, no entanto, parece surgir um renascimento do som estéreo A partir da conhecida fórmula do ruído √(4kTBR) – constante de
visto que a história do ruído reaparece. Boltzmann, temperatura em graus Kelvin, largura de banda e
resistência – podemos calcular o ruído de uma resistência. Pode-
Ruído da antiguidade… se usar isto, por exemplo, para determinar quão baixo precisa ser
Os antigos projetos da Elektor, onde foi feito um esforço especial o ruído de um ampop para que o efeito de processamento no sinal
para controlar o ruído, consistiam principalmente em amplifica- seja mínimo quando comparado com a impedância da malha em
dores de qualidade para toca discos. Publicamos também alguns torno do ampop. O contrário também é possível. Depois de sele-

64 04-2010
11-2009 elektor
A influência do ruído de corrente é frequentemente esquecida e muitas
vezes nem sequer aparece no datasheet dos dispositivos.

cionada uma determinada apli-


cação para um ampop, podemos 18VL
C1
então calcular, por exemplo, a 47µ
D1 ...D4 =
C7 C12 C14

resistência equivalente da malha R1


63V
R5
5V6 / 0W5
100p 1000µ 25V 100n C9

de realimentação de modo que 10k


R3 C2
30Ω1
R7
120p
contribua o mínimo para o ruído D1

6k81

10k0
1 S1a 2 IC1 R11
1µ 3
gerado. A tensão de ruído é nor- D2 1
RG1
7
20k0

L
malmente expressa para uma lar- C6
6
R10
2

100Ω
R9 SSM2017 20k0
gura de banda de 1 Hz. Para uma 4n7 8
RG2
IC2a
1
L
D3 3
temperatura T = 290 ºK, o ruído R4 R8 2
5
4
R12 R14
C4
6k81

10k0
S1b
é igual a: 3 4

10k

221k
D4
R13

1k
R2 R6
1µ C10
10k 30Ω1
C3
√(4×1.38×10 ×290×1×R) =
-23 C8 C13 C15 1µ
1 2

6
127×10 -12×√R (per √Hz). 47µ
63V 100p 1000µ 25V 100n 7
P1a
IC2b
5
48V 22V 18VL
R35 R36
Assim, teoricamente, uma resis- 3
10k
100Ω

100Ω

S3
tência de 10 kΩ gera uma tensão C5 IC2 = OP275 C11
R15
D5 D6

221k
IC4 = OP275
de ruído de cerca de 13 nV/√Hz. 100n Re1
R37
10k 1µ
Se assumirmos toda a largura
C22
de banda de áudio temos uma D13 Re1 =
2x 1N4148
C35 C36 V23042-A2005-B101
tensão de ruído total de 1,8 µV. 100n

Dependendo da capacidade de 47µ


63V
47µ
63V 18VR
corrente de saída de um ampop C18 C24 C29 C31
47µ
e do nível de sinal, os valores de D7 ...D10 =
5V6 / 0W5
100p 1000µ 25V 100n C26
R18 R22
uma resistência num determi- 10k
63V
30Ω1
R20 C19 R24
nado projeto não podem ser infe- D7
120p
6k81

10k0

1 2 IC3 R28
riores a um dado valor. Se um dis- S2a 1µ 3
20k0
1 7
pendioso ampop de baixo ruído R
D8
C23
RG1
R27
pode realmente fazer a diferença 6 2
100Ω

R26 SSM2017 20k0


4n7 1
8 IC4a
é então facilmente calculado. R21 R25
D9
2
RG2
4
3 R
R29 R31
Outras especificações podem ser C21 5
6k81

10k0

3 S2b 4
10k

221k

D10
R30
bem mais importantes, como a
1k

R19 R23
1µ C27
10k 30Ω1
largura de banda, taxa de cresci- C20
C25 C30 C32 4 5

mento (slew rate) ou distorção. 47µ
6
100p 1000µ 25V 100n
Podemos também calcular rapi- 63V 7
IC4b
5
P1b

18VR
damente o efeito de uma resis- 10k

tência ou malha de resistências na R32


6

D11 D12
relação sinal/ruído de um circuito: C28
221k

o ruído gerado por uma resistên- 1µ

cia, a uma temperatura de 290 ºK, 2x 1N4148


para uma largura de banda de 20
kHz é de 18 nV x √R.
Se qui ser m os p rojet a r u m
amplificador de microfone com uma rela- Ton Giesberts terminou o curso
ção sinal/ruído de 100 dB (em relação a 2 de Engenharia Eletrotécnica
mV, B = 20 kHz), o ruído total de entrada na Universidade Politécnica de
tem que ser inferior a 20 nV. Isto corres- Heerlen, Holanda, colabora com o
ponde a um ruído gerado por uma resis- laboratório da Elektor desde 1987.
tência de 1,2 Ω. Na prática, uma relação Como projetista e editor técnico,
E-LABS

sinal/ruído com menos 20 dB é mais rea- a área de projeto principal de


lista (uma resistência de 120 Ω). A impe- Ton consiste na área de circuitos
dância do microfone é frequentemente o analógicos. Ton é também
fator limitativo. diversas vezes chamado para
(090560-1) auxiliar na resolução de problemas
Artigo original: “Noise is not cool” – October 2009 complexos com circuitos de RF.

elektor 04-2010 65
Flexibilidade
Placas de circuitos impressos
sob medida para seu projeto

A Circuibras é a melhor opção no fornecimento de


placas de circuito impresso para sua empresa.

Utilizamos o que há de mais moderno em


equipamentos e tecnologia, além de quase 25 anos
de experiência na produção, desde face simples a
multilayers de alta complexibilidade.

Uma empresa flexível, que alia qualidade com prazos


reduzidos e em qualquer quantidade. A CIRCUIBRAS
é a melhor solução em circuitos impressos.

ESTÊNCIL ELETROFORMADO

A partir de agora os clientes


da CIRCUIBRAS podem contar
com mais esta facilidade. Seu
estêncil pode ser enviado
junto com seu pedido, com
preços reduzidos.

PROTÓTIPOS E PRODUÇÃO EM ESCALA


PRAZOS REDUZIDOS
PLACAS 100% GARANTIDAS
MULTILAYERS ATÉ 16 CAMADAS

Mais informações sobre nossos produtos acesse:


www.circuibras.com.br

Circuibras Indústria de Circuitos Impressos Profissionais


Rua Lodovico Kaminski 2351 | Curitiba | PR | CEP 81260 040
Fone/Fax (41) 3314-9000 | www.circuibras.com.br | comercial@circuibras.com.br

Você também pode gostar