Você está na página 1de 12

Especificao de Requisitos

Decodificador de udio MPEG-2 AAC-LC

Brazil-IP/UEFS Cliente: Brazil-IP

Version : 1.7

Histrico de Reviso
Data 10/08/2008 08/10/2008 09/10/2008 09/10/2008 09/10/2008 12/10/2008 Verso 1.0 1.1 1.2 1.3 1.4 1.5 Descrio Criao do documento. Reviso do documento. Adio de requisitos referentes ao Dequantizador e ao Reescalador. Adio de requisitos referentes ao Controlador de Memria. Adio de novos requisitos. Adio de requisitos referentes ao Controlador Principal. Reviso do documento. Adio de requisito no funcional referente ao buffer. Reviso do documento. Adio de requisitos no funcionais. Reviso do documento. Autor Angelo Andrade Wagner Oliveira Eder Carneiro Milton Cerqueira Henrique Brando Milton Cerqueira

19/10/2008 23/10/2008

1.6 1.7

Angelo Andrade Wagner Oliveira

Brazil-IP/UEFS

Decodificador de udio MPEG-2 AAC-LC

Esp. Requisitos

Pgina 2/12

ndice
1. 1.1 1.2 1.3 2. Introduo.................................................. 6 Viso geral do documento ............................ 6 Definies, acrnimos e abreviaes............... 6 Prioridade dos Requisitos ............................. 6 Requisitos funcionais..................................... 7

[FR 01] Separar informaes do stream ..................................7 [FR 02] Identificar incompatibilidades no stream .......................7 [FR 03] Reaver os valores originais dos fatores de escala..............7 [FR 04] Reaver os valores intermedirios dos coeficientes espectrais .....................................................................8 [FR 05] Restaurar valores espectrais previamente quantizados.......8 [FR 06] Aplicar fator de ganho .............................................8 [FR 07] Calcular a IMDCT dos coeficientes espectrais ..................8 [FR 08] Aplicar funo de janelamento ...................................9 [FR 09] Sobrepor janelas adjacentes ......................................9 [FR 10] Inicializar memria do sistema ...................................9 [FR 11] Realizar operao de escrita na memria do sistema.........9 [FR 12] Realizar operao de leitura da memria do sistema ....... 10 [FR 13] Realizar operao de auto-refresh............................. 10 [FR 14] Requisitar leitura do bitstream AAC ........................... 10 [FR 15] Configurar/ativar/desativar mdulos.......................... 10 [FR 16] Requisitar operaes de leitura/escrita ao Controlador de Memria do Sistema ....................................................... 10
Brazil-IP/UEFS Decodificador de udio MPEG-2 AAC-LC Esp. Requisitos Pgina 3/12

3.

Requisitos no funcionais ............................. 11

[NFR 01] Capacidade de armazenamento do buffer de entrada .... 11 [NFR 02] Temporizao da memria do sistema ...................... 11 [NFR 03] Temporizao dos mdulos Deformatador de Bitstream e Decodificador de Huffman ................................................ 11 [NFR 04] Temporizao do mdulo Dequantizador.................... 11 [NFR 05] Temporizao do mdulo Reescalador....................... 11 [NFR 06] Temporizao do mdulo IMDCT.............................. 11 [NFR 07] Temporizao do mdulo Windowing/Block Switching.... 11 [NFR 08] Temporizao do mdulo Overlapping/Adding ............. 11 [NFR 09] Controle de fluxo de dados entre mdulos do sistema .... 11

4.

Referncias .............................................. 12

Brazil-IP/UEFS

Decodificador de udio MPEG-2 AAC-LC

Esp. Requisitos

Pgina 4/12

Tabelas
Tabela 1. Definies, acrnimos e abreviaes ...................... 6

Brazil-IP/UEFS

Decodificador de udio MPEG-2 AAC-LC

Esp. Requisitos

Pgina 5/12

1. Introduo
O objetivo desse documento especificar todos os requisitos, funcionais e no funcionais, do projeto Decodificador de udio MPEG-2 AAC-LC. Esse projeto visa a implementao de um decodificador de udio AAC com perfil de baixa complexidade (LC) para o padro MPEG-2, seguindo a norma ISO/IEC 13818-7.

1.1 Viso geral do documento


Seo 2 Requisitos funcionais: essa seo lista todos os requisitos funcionais do projeto. Seo 3 Requisitos no funcionais: essa seo lista todos os requisitos no funcionais do projeto. Seo 4 Referncias: essa seo prov uma lista completa de todos os documentos referenciados nesse documento.

1.2 Definies, acrnimos e abreviaes


Termo Requisitos funcionais Descrio Requisitos de hardware que compem o Decodificador. Descrevem as aes que o mesmo deve estar apto a executar. Requisitos de hardware que compem o Decodificador. Representam caractersticas que o decodificador deve ter, ou restries que o mesmo deve operar.

Requisitos no funcionais

Tabela 1. Definies, acrnimos e abreviaes.

1.3 Prioridade dos Requisitos


Visando melhorar o gerenciamento do escopo do projeto e facilitar o estabelecimento de prioridades no desenvolvimento, os requisitos apresentados nesse documento so classificados da seguinte maneira: Essencial requisito que deve ser implementado para que o sistema funcione. Importante requisito sem o qual o sistema funciona, porm no como deveria. Desejvel requisito que no compromete o funcionamento do sistema.

Brazil-IP/UEFS

Decodificador de udio MPEG-2 AAC-LC

Esp. Requisitos

Pgina 6/12

2. Requisitos funcionais

[Deformatador de Bitstream]
[FR 01] Separar informaes do stream
Identificar e separar os campos que compem o stream compatvel com o formato ADIF, a saber: i. informaes de cabealho que caracterizam o stream (perfil usado, tipo do stream, freqncia de amostragem original, taxa de bits); dados espectrais para cada canal de udio (fatores de escala diferencialmente codificados por Huffman e coeficientes espectrais quantizados, no-escalados e codificados por Huffman); informaes de secionamento dos coeficientes espectrais (cada seo est associada a um livro de cdigo de Huffman); informaes de agrupamento dos coeficientes espectrais (bandas de fatores de escala de um mesmo grupo utilizam os mesmos fatores de escala); informaes de janelamento (formato e comprimento de janelas, funes de janelamento) dos coeficientes espectrais, necessrias aos mdulos que compem o banco de filtros de sntese (IMDCT, Windowing/Block Switching e Overlapping/ Adding); dados de controle decodificador. para os mdulos que compem o

ii.

iii. iv.

v.

vi.

Prioridade: Essencial

[FR 02] Identificar incompatibilidades no stream


Identificar algum tipo de erro ou incompatibilidade no stream decodificado como, por exemplo, tipo de stream e taxa de amostragem inesperados, existncia de canais de udio no suportados e dados corrompidos. Prioridade: Importante

[Decodificador de Huffman]
[FR 03] Reaver os valores originais dos fatores de escala
A partir do livro de cdigo especfico para fatores de escala, o objetivo decodificar as palavras de cdigo associadas a fatores de escala (diferencialmente codificados), oriundas do Deformatador de Bitstream, assim como recuperar seus valores originais (somando-se os valores diferenciais). Prioridade: Essencial

Brazil-IP/UEFS

Decodificador de udio MPEG-2 AAC-LC

Esp. Requisitos

Pgina 7/12

[FR 04] Reaver os valores intermedirios dos coeficientes espectrais


preciso utilizar um dos 11 livros de cdigo existentes para a decodificao dos coeficientes espectrais, a fim de obter os valores intermedirios de tais coeficientes (valores ainda quantizados e no-escalados), os quais podem ter sido codificados por Huffman em duplas ou qudruplas de valores. A seleo do livro de cdigo a usar vem da informao de secionamento, obtida no Deformatador de Bitstream. Prioridade: Essencial

[Dequantizador]
[FR 05] Restaurar valores espectrais previamente quantizados
Receber os coeficientes espectrais quantizados e no-escalados do Decodificador de Huffman e, aplicando a expresso aritmtica abaixo, converter tais valores inteiros para valores espectrais, sem aplicar ganho (ou seja, os valores resultantes permanecem no-escalados).

Prioridade: Essencial

[Reescalador]
[FR 06] Aplicar fator de ganho
Receber os valores espectrais do Dequantizador e aplicar-lhes um fator de escala (scalefactor), proveniente do Decodificador de Huffman. O valor de um fator de escala obtido a partir de uma diferena (acrscimo ou decrscimo) em relao ao valor do fator de escala imediatamente anterior. Prioridade: Essencial

[IMDCT]
[FR 07] Calcular a IMDCT dos coeficientes espectrais
Aplicar a transformada inversa modificada discreta de cosseno, definida pela expresso abaixo, sobre conjuntos de 128 ou 1024 coeficientes espectrais (identificados por spec[i][k], onde i o nmero da unidade de acesso de udio atualmente tratada, e k o ndice do coeficiente espectral dentro da janela tratada). A IMDCT gera um nmero duplicado de amostras PCM (identificadas por xi,n), em relao aos coeficientes espectrais de entrada, razo pela qual o ndice n varia de 0 a 255 (para janelas curtas) ou de 0 a 2047 (para janelas longas). A constante n0 definida por norma.

Prioridade: Essencial

Brazil-IP/UEFS

Decodificador de udio MPEG-2 AAC-LC

Esp. Requisitos

Pgina 8/12

[Windowing/Block Switching]
[FR 08] Aplicar funo de janelamento
Aplicar a funo de janelamento (windowing function) para uma dada seqncia de janelas, de acordo com o formato atribudo seqncia durante a codificao (este formato recuperado a partir do bitstream de entrada, pelo Demultiplexador de Bitstream). So duas as possibilidades: janelas seno e KBD (Kaiser-Bessel Derived). A aplicao de tais funes segue o estabelecido em [1] (seo 15.3.2). Prioridade: Essencial

[Overlapping/Adding]
[FR 09] Sobrepor janelas adjacentes
Fazer a juno de seqncias adjacentes de janelas, geradas pelo Windowing/Block Switching, de forma a gerar as amostras PCM finais. A metade esquerda da seqncia de janelas atual deve ser sobreposta e somada metade direita da seqncia de janelas precedente. Prioridade: Essencial

[Controlador de Memria do Sistema]


[FR 10] Inicializar memria do sistema
Primeiro comando a ser executado, antes de utilizar os recursos da memria do sistema (do tipo DDR SDRAM). O comando de inicializao deve ser aplicado pelo Controlador de Memria do Sistema na porta de entrada da memria user_command_register[2:0], dois ciclos aps ter sido colocado o valor correto de configurao do Registrador de Modo da Memria, na porta de entrada da memria user_mode_register. Aps isso, o Controlador de Memria do Sistema aguarda at que a porta de sada da memria user_init_val fique em nvel alto, indicando que a memria est pronta para ser utilizada. Prioridade: Essencial

[FR 11] Realizar operao de escrita na memria do sistema


A escrita de dados na memria realizada em rajadas: uma seqncia de palavras deve ser colocada no buffer de entrada do Controlador de Memria do Sistema, para que este realize operaes de escrita na memria em ciclos consecutivos. A seqncia de operaes de escrita na memria executada continuamente, at que o mdulo requerente force o final da seqncia, ou o tamanho mximo da rajada (o que depende da configurao da memria) seja alcanado. O ciclo de escrita na memria pode ser continuado por perodos maiores, desde que o endereamento dos dados na memria seja pertencente mesma linha da matriz. Como a primeira escrita do ciclo a que registra a

Brazil-IP/UEFS

Decodificador de udio MPEG-2 AAC-LC

Esp. Requisitos

Pgina 9/12

linha, as escritas subseqentes somente escrevem o endereo de coluna na memria. Prioridade: Essencial

[FR 12] Realizar operao de leitura da memria do sistema


A operao de leitura da memria executada de forma semelhante ao processo de escrita. O Controlador de Memria do Sistema, mediante requisio de outro mdulo, coloca, primeiramente, o endereo inicial a ser lido e, em seguida, aplica o comando de leitura e troca os endereos sucessivos (aps receber o sinal de resposta da memria). Prioridade: Essencial

[FR 13] Realizar operao de auto-refresh


O Controlador de Memria do Sistema realiza periodicamente o comando de auto-refresh, necessrio para manter os dados ativos e vlidos na memria DDR SDRAM. Prioridade: Essencial

[Controlador Principal]
[FR 14] Requisitar leitura do bitstream AAC
Requisio de leitura de blocos do arquivo AAC, o qual foi selecionado pela Interface com Usurio (mdulo externo ao IP-Core). Prioridade: Essencial

[FR 15] Configurar/ativar/desativar mdulos


De acordo com o encadeamento/fluxo de processamento e os sinais de controle provindos do bitstream AAC, o Controlador Principal ser responsvel por ativar/ desativar mdulos, de forma a manter o fluxo de processamento coerente. Alm disso, tal controlador responsvel por configurar mdulos, de acordo com os dados de controle fornecidos. Prioridade: Essencial

[FR 16] Requisitar operaes de leitura/escrita ao Controlador de Memria do Sistema


De acordo com as solicitaes dos mdulos e a poltica de prioridade de acesso estrutura de interconexo interna do IP-Core, o Controlador Principal executar operaes de leitura e escrita, a fim de fornecer dados para o fluxo de processamento do bitstream AAC. Prioridade: Essencial

Brazil-IP/UEFS

Decodificador de udio MPEG-2 AAC-LC

Esp. Requisitos

Pgina 10/12

3. Requisitos no funcionais
[NFR 01] Capacidade de armazenamento do buffer de entrada
O buffer de entrada do IP-Core deve seguir as exigncias descritas em [1] (seo 8.2.2), o que corresponde a 12288 bits.

[NFR 02] Temporizao da memria do sistema


As operaes realizadas com a memria DDR SDRAM devem seguir os esquemas de temporizao definidos em [2], sob pena de mau funcionamento do sistema.

[NFR 03] Temporizao dos mdulos Deformatador de Bitstream e Decodificador de Huffman


Para decodificar sinal stereo de 44.1 kHz, os mdulos Deformatador de Bitstream e Decodificador de Huffman devero ser capazes de identificar e decodificar at 88200 coeficientes espectrais e 9646 fatores de escala por segundo.

[NFR 04] Temporizao do mdulo Dequantizador


Para decodificar sinal stereo de 44.1 kHz, o mdulo Dequantizador dever ser capaz de dequantizar at 88200 coeficientes espectrais por segundo.

[NFR 05] Temporizao do mdulo Reescalador


Para decodificar sinal stereo de 44.1 kHz, o mdulo Reescalador dever ser capaz de reescalar at 88200 coeficientes espectrais por segundo.

[NFR 06] Temporizao do mdulo IMDCT


Para decodificar sinal stereo de 44.1 kHz, o mdulo IMDCT dever ser capaz de gerar at 176400 amostras PCM por segundo.

[NFR 07] Temporizao do mdulo Windowing/Block Switching


Para decodificar sinal stereo de 44.1 kHz, o mdulo Windowing/Block Switching dever ser capaz de aplicar funo de janelamento (seno ou KBD) em at 176400 amostras PCM por segundo.

[NFR 08] Temporizao do mdulo Overlapping/Adding


Para decodificar sinal stereo de 44.1 kHz, o mdulo Overlapping/Adding dever ser capaz de realizar 88200 operaes de adio de amostras PCM por segundo.

[NFR 09] Controle de fluxo de dados entre mdulos do sistema


A temporizao entre os mdulos do IP-Core essencial para o correto processamento. A cadncia do processo deve ser gerenciada pelo Controlador Principal, o qual dispe de aes para regularizar a velocidade do processamento e o controle de acesso estrutura de interconexo interna.

Brazil-IP/UEFS

Decodificador de udio MPEG-2 AAC-LC

Esp. Requisitos

Pgina 11/12

4. Referncias
[1] MPEG-2 Part 7: Audio (ISO 13818-7), 2004. [2] JEDEC. JESD79C: Double Data Rate (DDR) SDRAM Specification. Virginia, USA: JEDEC Solid State Technology Association, 2003. 75p.

Brazil-IP/UEFS

Decodificador de udio MPEG-2 AAC-LC

Esp. Requisitos

Pgina 12/12

Você também pode gostar