Você está na página 1de 99

Ronaldo Rodrigues Godoi

Tópicos avançados de Ciência e Tecnologia aplicados ao Ensino


Médio: Física dos componentes dos computadores atuais e
tendências futuras

Londrina
2004
2

Ronaldo Rodrigues Godoi

Tópicos avançados de Ciência e Tecnologia aplicados ao Ensino


Médio: Física dos componentes dos computadores atuais e
tendências futuras

Monografia apresentada ao Curso de


Especialização em Ensino de Física para o novo
Ensino Médio, da Universidade Estadual de
Londrina, como requisito parcial à obtenção do
titulo de especialização Lato Sensu.

Orientadora: Profa. Dr. Irinéa De Lourdes Batista

Londrina
2004
3

Ronaldo Rodrigues Godoi

Tópicos avançados de Ciência e Tecnologia aplicados ao Ensino


Médio: Física dos componentes dos computadores atuais e
tendências futuras

Monografia apresentada ao Curso de


Especialização em Ensino de Física para o novo
Ensino Médio, da Universidade Estadual de
Londrina, como requisito parcial à obtenção do
título de especialização Lato Sensu.

Orientadora:Profa. Dra. Irinéa de Lourdes Batista

COMISSÃO EXAMINADORA

_________________________________

_________________________________

_________________________________

Londrina
2004
4

Dedicado aos meus pais,


Norberto e Denise.
5

Agradecimentos:

À família Matos, a minha tia Geni e aos meus avós Alice e Antônio.
Ao Prof. Dr. André Tsutomo Otta, Prof. Dr. Klemensas Rimgaudas Juraitis,
Profa. Dra. Santosh Shelly Sharma.
Professores e técnicos que colaboraram com o desenvolvimento dos trabalhos.
A minha orientadora Profa. Dra. Irinéa de Lourdes Batista.
Prof. Dr. Marcelo C. Tosin e Francisco Granziera Jr. depto de Engenharia Elétrica da UEL.
Prof. Dr. Jacobus W. Swarts, Prof. Dr. Ioshiaki Doi, Prof. Dr. V. Baranauskas,
Prof. Dr. Roberto Panepucci da UNICAMP.
Ao CAPES que viabilizou com a bolsa PET minha graduação, e as pessoas que
coordenaram e colaboraram com os trabalhos do grupo PET: Prof. Dr. Mario Goto, Profa.
Dra. Hiromi Iwamoto, Prof. Dr. Antonio Edison Gonçalves, Prof. Dr. Carlos Eduardo Laburú,
Prof Dr. Valdir A. Navarro, Prof Dr. Ivan Frederico Lupiano Dias.
Aos coordenadores do curso de especialização, pelo apoio material com cotas de cópias
das apostilas do curso elaborado na implementação da proposta deste trabalho.
6

A palavra, a liberdade e o trabalho


aparecem como três manifestações humanas de
uma mesma verdade. A primeira no nível do
conhecimento, a segunda no nível das decisões,
a terceira no nível da transformação do mundo.

A. M. de Rezende

Qualquer trabalho científico, qualquer


descoberta, qualquer invenção é um
trabalho universal.
Ele está condicionado, em parte pela
cooperação de contemporâneos, em parte pela
utilização do trabalho de seus predecessores.
7

K. MARX
GODOI, Ronaldo Rodrigues. Tópicos avançados de Ciência e Tecnologia aplicados ao
Ensino Médio: Física dos componentes dos computadores atuais e tendências
futuras. 2004. Monografia (Especialização Lato Sensu em Ensino de Física para o Novo
Ensino Médio) – Universidade Estadual de Londrina.

RESUMO

Este trabalho estuda o ensino de Ciências e procura tornar contemporâneo o


conteúdo de Física no Ensino Médio. Abordamos a tecnologia eletrônica, estudando a
física dos semicondutores como forma de compreensão do funcionamento dos transistores
(devido a importância que este dispositivo teve na revolução dos meios de produção).
Avançamos, abordando a questão da computação quântica mediante exemplos de
dispositivos que estão em fase de desenvolvimento e expondo os pontos vantajosos de
uma lógica quântica, como a aplicação em criptografia – com o exemplo da fatoração de
números grandes. Procuramos defender a utilização de tópicos avançados de Tecnologia e
Ciência no Ensino Médio como forma de cumprir o papel legado a educação em termos de
preparação do indivíduo para o convívio com o mundo atual, motivando os educandos com
temas e abordagens contemporâneas. Além do funcionamento dos dispositivos também
abordamos os processos de fabricação e as etapas do processamento.
8

Palavras-chave: ensino, ciência, eletrônica, qubit, computação quântica, transistor.


9

GODOI, Ronaldo Rodrigues. Advanced Topics of Science and Technology Applied on


Secondary Education: Physics of Current Computer Components and Future
Tendency. 2004. Monography (Lato Sensu Specialization on Physics Teaching for New
Secondary Education) – Londrina State University.

ABSTRACT

This work studies the Science Teaching and it tries to be come contemporary the High
School contents. We approached electronic technology, studying semiconductor physics as
a way to understand transistor functioning (due to importance that this device had on the
revolution of production means). We advanced approaching the problem of quantum gate
througth examples of devices that are in development phase and explaining advantageous
points of quantum logic, as cryptography application by the example of big numbers
factoring. We tried to defend the use of advanced Science and Technology on Secundary
Education as a way to carrying out the role of education in terms of individual preparation to
live with current world, motivating the students with contemporary subject and approach.
Over there devices functioning we appoached the manufacture process.

Key-words: teaching, science, electronic, qubit, quantum gate, transistor.


10

LISTA DE FIGURAS

Figura 3.2.1 – (a) Simbolo de um transistor TEC, de canal n. (b) Forma geométrica dos
transistores TEC. (CCS, 2004).......................................................................................30
Figura 3.3.1 – Ilustração da formação de bandas através da superposição de estados
quânticos em uma rede cristalina (Christman, 1988, 19 p.)...........................................32
Figura 3.3.2 – (a) Bandas de Semicondutor; (b) Bandas de Isolante e (c) Bandas de
Condutor. (Halliday, 1993, 229-230 p.)..........................................................................33
Figura 3.4.1 – (a) Silício não dopado. (b) Silício dopado com Fósforo, tipo n pois um elétron
não participa da ligação covalente do material, contribuindo assim para condução. (c)
Silício dopado com Bóro, tipo p, pois falta um elétron ao Boro criando uma lacuna que
tem carga positiva e o tipo de condução no material é devido as lacunas. (Halliday,
1993, 235 p.)...................................................................................................................34
Figura 3.5.1 – (a) Símbolo Elétrico de diodo e (b) características geométricas de um diodo,
ou junção p-n. (CCS, 2004)............................................................................................35
Figura 3.5.2 – (a) Junção p-n em polarização direta. Representação simbólica do circuito e
das correntes existentes. (b) Junção p-n em polarização inversa e correntes exitentes.
(Halliday, 1993, 239 p.)...................................................................................................35
Figura 3.5.3 – (a) Tensão sem o diodo. (b) Circuito de tensão alternada. (c) Tensão com o
diodo. (Halliday, 1993, 239 p.)........................................................................................36
Figura 3.5.4 – (a) Transistor MOSFET com tensão negativa aplicada na porta – formação
de canal, com passagem de corrente. (b) Transistor MOSFET sem tensão aplicada a
porta – canal não formado, sem passagem de corrente................................................37
Figura 3.6.1 – (a) Primeiro transistor bipolar. (b) Primeiro circuito integrado desenvolvido
por J. Kilby em 1958. (c) Primeiro circuito integrado de tecnologia planar fabricado na
Fairchild. (Swart, 1999, 3-4 p.).......................................................................................38
Figura 3.6.2 – (a) Dimensões mínimas empregadas nas estruturas em CI’s. (b) Dimensões
empregadas nas estruturas dos Circuitos Integrados, do processador 286 ao Pentiun
II. (c) Evolução do número de dispositivos por CI. Note que os três gráficos estão em
escala logarítmica. (Swart, 1999, 8-10 p.)......................................................................40
11

Figura 3.6.3 – Ilustração de mapas desenhados com detalhes de todas as ruas e rios em
diversas fases tecnológicas. (Swart, 1999, 11 p.)..........................................................41
Figura 4.1.1 – Cristal de NaCl. (Christman, 1988, 56 p.)......................................................44
Figura 4.1.2 – (a) Identificação dos vetores e ângulos. (b) Redes de Bravais. (Christman,
1988, 29 p.).....................................................................................................................45
Figura 4.1.3 - Defeitos pontuais: A vacância, B átomo intersticial, C impureza e D impureza
intersticial. (Christman, 1988, 58 p.)...............................................................................47
Figura 4.1.4 – Defeitos (a) lineares, (b) planares e (c) volumétricos. (Swart, 1999,
Christman 1988, 197p.)..................................................................................................47
Figura 4.3.1 - Lâmina de semicondutor tipo p com orientação {111}...................................49
Figura 4.3.2 - Etapas na obtenção de lâminas, ou substratos, empregadas na
microeletrônica e na obtenção do circuito integrado......................................................50
Figura 4.3.3 - Reator de purificação do quartizito para silício de grau metalúrgico.
(Baranauskas, 1990, 1.3 p.)...........................................................................................51
Figura 4.3.3 – Sistema de purificação do silício de grau metalúrgico para silício de grau
eletrônico de pureza. (Baranauskas, 1990, 1.4 p.)........................................................51
Figura 4.3.4 - Obtenção de silício policristalino, de grau eletrônico de pureza, no reator
CVD. (Baranauskas, 1990, 1.5 p.)..................................................................................52
Figura 4.3.5 - (a) Quatro momentos do método CZ: (1)descida da semente; (2) encontro, na
descida, da semente com a superfície do silício derretido; (3) início da subida; (4)
término do processo de crescimento. (b) detalhes do equipamento de crescimento CZ.
(Baranauskas, 1990, 1.8 e 1.9 p.)..................................................................................53
Figura 4.3.6 – (a) Equipamento de crescimento FZ. (b) Detalhe da semente e da espira.
(Baranauskas, 1990, 1.10 e 1.11 p.)..............................................................................54
Figura 4.3.7 – (a) Desbaste e (b) serragem. (SWART, 1999, 232 p.)...................................55
Figura 4.3.8 – (a) Arredondamento das bordas da lâmina de silício. (b) polimento final da
superfície do substrato (lâmina). (SWART, 1999, 232 e 233 p.)...................................55
Figura 4.4.1 – Esquema de uma evaporadora (Morimoto, 1999, 2 p.).................................56
Figura 4.4.2 – Esquema de deposição por sputering. (Morimoto, 1999, 3 p.)......................57
Figura 4.4.3 – Taxa de deposição como função do inverso da temperatura. (Morimoto,
1999, 5 p.).......................................................................................................................59
12

Figura 4.4.4 – Diagrama dos tipos de reatores CVD. (Morimoto, 1999, 6 p.).......................60
Figura 4.4.5 – Reatores: (a) APCVD de processamento contínuo do tipo injetor de gás; (b)
APCVD de processamento contínuo do tipo pleno. (Morimoto, 1999, 6 p.)..................60
Figura 4.4.6 – Reatores LPCVD de parede quente (a) e fria (b). (Morimoto, 1999, 7 p.).....60
Figura 5.2.1 – Lâmina ou substrato tipo n limpo e isento de óxido e de impurezas. (CCS,
2004)...............................................................................................................................64
Figura 5.3.1 – Lâmina de silício oxidada. (CCS, 2004).........................................................65
Figura 5.4.1 – Lâmina de silício oxidada e coberta com o fotoresiste. (CCS, 2004)............67
Figura 5.4.2 – (a) Estufa onde o resiste sofre cura. (b) Fotoalinhadora. (CCS, 2004).........67
Figura 5.4.3 – Alinhamento da lâmina com a máscara e exposição a radiação UV. (CCS,
2004)...............................................................................................................................68
Figura 5.4.4 – Lâmina coberta com resiste revelado. (CCS, 2004)......................................68
Figura 5.5.1 – Regiões sem resiste devem ter o óxido removido. (CCS, 2004)...................69
Figura 5.5.2 – Lâmina livre de óxido nas costas e com aberturas na frente. (CCS, 2004).. 70
Figura 5.5.3 – Lâmina sem o resiste protetor. (CCS, 2004)..................................................70
Figura 5.6.1 – Diagrama esquemático genérico de um implantador iônico. (Baranauskas,
1990, 4.2 p.)....................................................................................................................72
Figura 5.6.3 – Implantação de íons: (a) Bóro na face e (b) Fósforo nas costas. (CCS, 2004).
........................................................................................................................................73
Figura 5.7.1 – Lâmina recozida e oxidada após implantação de íons. (CCS, 2004)............74
Figura 5.8.1 – (a) Cruzes de alinhamento, (b) verniers xy....................................................75
Figura 5.8.2 – Resultado da segunda corrosão. (CCS, 2004)..............................................75
Figura 5.9.1 – Resultado da oxidação de porta. (CCS, 2004)..............................................76
Figura 5.9.2 – Resultado da corrosão do óxido de fonte e dreno. (CCS, 2004)...................76
Figura 5.10.1 – (a) Esquema da evaporadora. (b) Foto da evaporadora utilizada. (CCS,
2004)...............................................................................................................................77
Figura 5.10.2 – Camada de alumínio depositada na face da lâmina. (CCS, 2004)..............77
Figura 5.10.3 – Circuito definido com separação dos contatos: fonte, dreno e porta. (CCS,
2004)...............................................................................................................................78
Figura 5.10.4 – Fotografia de um transistor de canal p, fabricado através das etapas
descritas. (CCS, 2004)...................................................................................................78
13

Figura 6.2.1 – Representação dos operadores lógicos: NOT, AND e OR; com suas
entradas e saídas. (Occidental Schools, 1982, 15 p.)....................................................81
Figura 6.3.1 – Circuitos lógicos NOT e AND.........................................................................82
Figura 6.3.2 – Circuito lógico OR...........................................................................................83
Figura 6.3.3 – Símbolo do transistor IRF9540 e imagem do dispositivo apontando qual
terminal é fonte (S), dreno (D) e porta (G). (Fairchild, 2002, 1 p.).................................84
Figura 6.3.4 – Figura do protoboard com o circuito NOT montado nele...............................85
Figura 6.3.5 – Montagem do circuito OR em um protoboard................................................86
Figura 6.3.6 – Montagem ampliada do circuito AND em protoboard....................................86
Figura 7.1 – Proposta de dispositivo quântico de funcionamento baseado em acoplamento
forte de par elétron-lacuna de cobre. (Wallraff, 2004, 163 p.).......................................91
Figura 7.2 – Circuito referente ao dispositivo da figura 7.1. (Wallraff, 2004, 164 p.)............91
Figura 7.3 – Proposta de Kane para dispositivo quântico de funcionamento baseado no
spin nuclear de dois átomos de Fósforo em substrato de silício. (Divincenzo, 1998, 113
p.)....................................................................................................................................92
14

Sumário
ABSTRACT 8

LISTA DE FIGURAS 9

1 - INTRODUÇÃO 15

2 CONSIDERAÇÕES DIDÁTICAS 20

2.1 PARÂMETROS CURRICULARES NACIONAIS E ENSINO DE TÓPICOS AVANÇADOS.........20


2.2 CIÊNCIA, TECNOLOGIA E SOCIEDADE – PROBLEMATIZAÇÃO......................................25
2.3 A QUESTÃO DA TRANSPOSIÇÃO DIDÁTICA................................................................26
2.4 AVALIAÇÃO DENTRO DO PROCESSO.........................................................................28

3 FUNDAMENTOS NO FUNCIONAMENTO DOS CIRCUITOS INTEGRADOS 29

3.1 INTRODUÇÃO AO CONTEÚDO DO PROGRAMA DO CURSO..........................................29


3.2 O QUE É UM TRANSISTOR.......................................................................................29
3.3 BANDA DE VALÊNCIA E BANDA DE CONDUÇÃO.........................................................31
3.4 DOPAGEM TIPO N E TIPO P......................................................................................33
3.5 FUNCIONAMENTO DO TRANSISTOR DE EFEITO DE CAMPO.........................................34
3.6 MICROELETRÔNICA EM QUESTÃO: HISTÓRIA E ANÁLISE............................................37

4 CRISTAIS, CRESCIMENTO DE CRISTAIS E OUTROS PROCESSOS 43

4.1 ELEMENTOS DE CRISTALOGRAFIA............................................................................43


4.2 PORQUE O SILÍCIO?.................................................................................................48
4.3 OBTENÇÃO DE CRISTAIS DE SILÍCIO.........................................................................49
4.4 DEPOSIÇÃO DE FILMES FINOS..................................................................................56

5 FABRICAÇÃO 62

5.1 O PROCESSO ABORDADO........................................................................................62


5.2 A LÂMINA EMPREGADA E SEU PROCESSO DE LIMPEZA.............................................63
5.3 OXIDAÇÃO...............................................................................................................64
5.4 LITOGRAFIA.............................................................................................................66
5.5 CORROSÃO DO ÓXIDO (ETCHING)............................................................................69
15

5.6 IMPLANTAÇÃO DE ÍONS............................................................................................71


5.7 RECOZIMENTO E SEGUNDA OXIDAÇÃO ÚMIDA..........................................................73
5.8 FOTOGRAVAÇÃO DA SEGUNDA MÁSCARA E SEGUNDA CORROSÃO............................74
5.9 OXIDAÇÃO DE PORTA E ABERTURA DE FONTE E DRENO PARA METALIZAÇÃO............76
5.10 DEPOSIÇÃO DO ALUMÍNIO, CORROSÃO DO ALUMÍNIO (CIRCUITO) E SINTERIZAÇÃO..77

6 COMO O COMPUTADOR TRATA A INFORMAÇÃO 79

6.1 REPRESENTAÇÃO BINÁRIA.......................................................................................79


6.2 ÁLGEBRA DE BOOLE................................................................................................81
6.3 CIRCUITOS LÓGICOS: NOT, AND E OR...................................................................82

7 COMPUTAÇÃO QUÂNTICA 87

8 CONCLUSÃO 94

REFERÊNCIAS 95

BIBLIOGRAFIA 98
16

1 - INTRODUÇÃO

Em 1986 um certo educando da 5º série do ensino fundamental se perguntava a


respeito da natureza do homem, do universo e do conhecimento que o primeiro tem sobre
o segundo. Imagine que este estudante tivesse sido orientado dentro de uma área do
conhecimento com as melhores e mais profundas informações sobre esta área especifica
da ciência e que tivesse dedicado a ela duas horas, do seu tempo, por semana. Quando
este educando se formasse ao término do Ensino Médio ele teria dedicado 560 horas
preciosas de sua vida se interando de um tema relevante no seu tempo, ainda não
solucionado e cuja contribuição futura para solução, sendo responsabilidade da
humanidade, também estaria em suas mãos.
Outro aspecto sobre o tipo de informações que este educando receberia se refere ao
nível destas informações. Este educando receberia informações, até hoje, somente
disponíveis para graduação, mestrado e doutorado.
Este educando a que me refiro é o autor deste texto e com sua execução pretende
demonstrar que o tempo gasto com tópicos avançados de ciência e tecnologia é importante
em pelo menos três aspectos distintos e inter-relacionados. Em primeiro lugar, e a curto
prazo, por atribuir responsabilidade científica, que resulta: (1) em motivação pela busca de
solução para um problema; (2) em aumento de auto-estima, por creditar, a estes
educandos, relevância no processo de crescimento, desenvolvimento e divulgação de uma
ciência ou tecnologia; (3) em socialização do indivíduo, pelo contato com orientadores e
colegas. Em segundo lugar, a médio prazo, a importância de colocar um educando do
ensino médio em um programa de ensino de tópicos avançados, vem do próprio
desenvolvimento cognitivo que acontece com a criação de um espaço para aquisição de
informações e desenvolvimento das capacidades de concatenação, análise, síntese e
outras. Por último, em terceiro lugar e a longo prazo, esse processo traria maior eficiência
no sistema educacional e, por conseqüência, melhor desempenho da sociedade no setor
de pesquisa, fazendo com que os educandos cheguem à graduação prontos para iniciar
um trabalho de iniciação científica mais consistente, uma vez que já possuem
conhecimentos dentro da área a qual vão se dedicar.
17

Alguns dos maiores contribuintes para o estado atual do conhecimento, o fizeram em


idade prematura, embora haja áreas em que só a maturidade consegue resultados.
Pergunto: como nossos jovens podem contribuir significativamente para o desenvolvimento
da ciência e da tecnologia se não possuem instrumentos para tanto?
Como implementação da nossa proposta desenvolvemos e implantamos um núcleo
experimental de um grupo de estudo em uma área da Física aplicada. A área de interesse
para desenvolvimento deste núcleo de estudo, de um número enorme de possibilidades em
cada área do conhecimento e da tecnologia, foi a Física de Componentes Eletrônicos e a
proposta de novos conceitos físicos de dispositivos aplicados a produção de computadores.
A implementação deste grupo de estudo se deu pela realização de um curso de oito horas
tendo como título: “Computadores: o transistor e as próximas gerações”.
Forão convidados a participar deste grupo educandos do ensino médio; educandos do
ensino fundamental exigiriam um nível de detalhe e estudo maior e mais profundo antes
que o projeto fosse implantado, não havendo tempo para tanto – o que fica como sugestão
para uma próxima etapa ou um novo projeto. Um grupo de estudo permanente ou com
duração maior poderia incentivar a formação de monitorias com alunos dos cursos
universitários.
Outros aspectos de interesse nas características dos educandos alvos deste projeto
são a sede pelo conhecimento e a liberdade de imaginação. Aspectos que contribuem
enormemente para o surgimento de novas idéias. Em idealização, esta rede de trabalho é
aberta para quem dela quiser fazer parte. Estudantes que tem mais afinidade com a vida
acadêmica se beneficiarão muito da atividade proposta. O grupo deu vazão ao
desenvolvimento de conhecimentos adicionais aos do currículo básico do ensino médio.
A definição de metas a serem alcançadas fez parte do projeto. Foi distribuído material
de leitura com os tópicos abordados. O reconhecimento da participação, no projeto, por um
certificado com desempenho, freqüência e carga horária seria desejável. Foram oferecidas
20 vagas para este curso.
A busca de colaboradores qualificados, para dar a direção ao trabalho do grupo, é
fundamental e a busca de financiadores deve ser considerada. O trabalho é de interesse
público. Embora a falta de financiadores não comprometa a execução do trabalho, pois o
custo é baixo, fica comprometida a qualidade desejada.
18

Um aspecto que se apresenta de imediato, quando fazemos uma análise do trabalho


com tópicos avançados de ciência e tecnologia, é a falta de conhecimento sobre o assunto,
que os educandos apresentarão, e a falta de subsídios nas várias ciências (Física,
Química, Biologia, e as demais). Fica claro neste ponto que o estudo é multidisciplinar e
interdisciplinar. Este é um aspecto grave e especial atenção foi dada a ele. Todo
conhecimento foi apresentado de forma que ao fim do estudo os elos se liguem e o assunto
seja dominado pelos participantes, aumentando os horizontes dos membros do grupo. Uma
abordagem cheia de exemplos práticos e ligados ao cotidiano é imperativa para que se
consiga situar cada membro do grupo dentro do tema proposto e dar ciência de sua
relevância. Se por um lado a exigência de conhecimentos prévios se apresenta como um
problema a ser resolvido, por outro lado, essa necessidade implica na integração entre as
várias áreas relacionadas a um problema específico, provocando o envolvimento do
educando com sua vida escolar como forma de satisfazer as necessidades para
compreensão do tema proposto.
A alguns anos atrás o currículo do ensino médio, no Paraná, agregou uma disciplina
chamada Projeto Interdisciplinar, com carga horária de duas aulas por semana. Esse
espaço se propunha a uma atividade com algumas características semelhantes a este
projeto. Esta idealização de um núcleo de estudo de tópicos avançados de ciências, para
educandos de ensino médio também tem muita semelhança com programas de iniciação
científica dos cursos de graduação e sua idéia é permitir que uma quantidade maior de
indivíduos tenha acesso a este tipo de trabalho.
A educação como um processo dividido em quatro níveis (Ensino Fundamental,
Ensino Médio, Ensino Técnico e Ensino Superior) necessita de um espaço para dar chance
de integrar esses níveis. Esta integração parte do princípio de que, embora essa divisão
seja necessária para o bom andamento e controle do processo educacional, também
impõem dificuldades no desenvolvimento dos educandos, que muitas vezes tem seu
crescimento limitado pela barreira criada com a falta de oportunidade de acesso a
conhecimentos mais avançados e falta de orientação. Removendo essa barreira e dando
maior liberdade aos educandos, buscamos produzir um espaço propício a investigação,
construção e integração de novos conhecimentos com os conhecimentos anteriores dos
educandos.
19

A idéia é abrir o sistema educacional colocando educandos do Ensino Médio em


contato com conhecimentos avançados, criando uma base para que esses educandos se
destaquem em atividades acadêmicas futuras dentro do campo de estudo abordado pelo
grupo. É proposto incentivar educandos, professores e pesquisadores a criarem grupos de
estudo ligados a universidades ou instituições de ensino e pesquisa que possuam
condições de proporcionar informações e orientação, priorizando a compreensão de
conceitos científicos e aproximando ciência, tecnologia e profissionalização.
Imagine como seria a realidade de nosso sistema de ensino se houvesse acesso dos
educandos de nível fundamental e médio aos milhares de grupos de estudo, espalhados
por nosso país (e pelo mundo), em áreas diferentes do conhecimento como a Física, a
Matemática, a Biologia, etc. Isto considerando que cada área destas se subdivide em
outras centenas de ramos, que estudam problemas específicos, e poderiam cobrir grande
parte de todo conhecimento e tecnologia humanos. Esta é uma idéia das possibilidades
propostas neste trabalho: dar acesso a produção científica e tecnológica aos nossos
estudantes. Fica claro porém que este trabalho tem intenção de abordar e criar um único
grupo de estudo dentro de um tema específico.
Apontar direções para continuar o desenvolvimento iniciado com os trabalhos do
grupo é intenção deste projeto. Direções como a busca de cursos de graduação, ou cursos
tecnológicos, ou técnicos que possam dar subsídios educacionais e profissionalizantes
dentro das áreas correlacionadas ao trabalho do grupo de estudo.
A Fundação Araucária de Apoio ao desenvolvimento Científico e Tecnológico do
Paraná criou um Programa de Bolsas de Iniciação Científica Junior com a proposta de
englobar 159 bolsistas, aos quais propunha uma bolsa de doze parcelas mensais de R$
80,00. O programa foi lançado em 2003 para entrar em vigência em 2004. Os educandos
que cursassem a segunda série do ensino médio em 2004 poderiam ser classificados ou
escolhidos para trabalhar no projeto, que conta com o apoio das instituições de ensino
superior, as quais, proporiam um projeto acadêmico a ser desenvolvido pelo educando e
por um professor orientador, docente com vínculo com a instituição, com carga horária de
12 horas de estudo para os educandos. O envolvimento e comprometimento de educandos
e orientadores, e das próprias instituições com fornecimento de instalações e recursos de
informática, ou outros, é parte do projeto da Fundação.
20

Agreditamos que semelhante programa deveria ser acessível a todos os estudantes


do Brasil (o que é um número infinitamente maior que as 159 bolsas oferecidas por esta
fundação).
Oferecer bolsas de estuda nestas condições, é um exemplo da proposta de educação
motivadora, consciente, responsável pela situação social, cultural e econômica da
sociedade. Educação que se defende neste trabalho, considerando que o crescimento do
indivíduo é o objetivo da educação, até leva-lo a se tornar cidadão, homem liberto pelo
conhecimento, um profissional inserido no mercado de trabalho e, portanto, livre dos
caminhos da marginalidade.
21

2 CONSIDERAÇÕES DIDÁTICAS

2.1 Parâmetros Curriculares Nacionais e Ensino de Tópicos Avançados

O ensino de Física vem deixando de se concentrar na simples memorização de


fórmulas ou repetição automatizada de procedimentos, situações artificiais ou
extremamente abstratas. Que temas devem ser privilegiados? É preciso introduzir a Física
Moderna?
Práticas escolares concretas exigem um movimento contínuo de reflexão,
investigação e atuação, necessariamente permeado de diálogo constante. O vasto
conhecimento de Física, acumulado ao longo da história da humanidade, não pode estar
todo presente na escola média. Será necessário sempre fazer escolhas em relação ao que
é mais importante ou fundamental, estabelecendo para isso referências apropriadas. Não
se trata de apresentar ao jovem a Física para que ele simplesmente seja informado de sua
existência, mas para que esse conhecimento se transforme em uma ferramenta a mais em
suas formas de pensar e agir. Os critérios que orientam a ação pedagógica deixam,
portanto, de tomar como referência primeira “o que ensinar de Física”, passando a centrar-
se sobre o “para que ensinar Física”.
Há competências relacionadas principalmente com a investigação e compreensão dos
fenômenos físicos, enquanto há outras que dizem respeito a utilização da linguagem física
e de sua comunicação, ou, finalmente, que tenham a ver com sua contextualização
histórico e social.
As exigências de interdisciplinaridade e multidisciplinaridade dos Parâmetros
Curriculares Nacionais (PCN) reforçam os fundamentos da proposta de se trabalhar com
tópicos avançados no ensino médio, uma vez que a construção científica e tecnológica
passa quase que obrigatoriamente por estas duas condições – interdisciplinaridade e
multidisciplinaridade (Brasil, 2002, 34-36 p.).
O PCN propõem uma reavaliação no tipo de pessoa que a escola quer formar. É dada
importância as competências desenvolvidas pelos educandos e a coerência entre o
conteúdo e o cotidiano dos mesmos. Ensino de tópicos avançados de ciência e tecnologia
cria um vínculo entre o tempo atual (econômico, social, cientifíco e tecnológico) e os
22

indivíduos, e abre os horizontes destes indivíduos para profissões que eles normalmente
não teriam pensado exercer. Objetivá-se mostrar que a Física, a Matemática, a Química, as
Engenharias são carreiras que estão ao alcance destes indivíduos, pois são pessoas como
estes que exercem e estarão exercendo profissões dentro dessas áreas.
A proposta para educação na forma da Lei de Diretrizes e Bases (LDB 9394/96) e nos
Parâmetros Curriculares Nacionais (PCN) tem como orientadoras as quatro premissas
apontadas pela United Nation Educational, Scientific and Cultural (UNESCO): aprender a
conhecer, aprender a fazer, aprender a viver e aprender a ser (Brasil, 2002, 27 p.).
“Aprender a conhecer” (Brasil, 2002, 29 p.) remete a experiência educacional a
transformação do indivíduo pela sua capacitação para identificar e fazer uso dos meios de
compreensão da complexidade do mundo. Aprender a conhecer o universo da
manipulação da informação por meios automatizados (informática) pela compreensão física
dos princípios de funcionamento dos equipamentos (computadores) e de novas propostas
de dispositivos físicos é tema atual e concorda com os princípios desenvolvidos no PCN,
uma vez que, familiarizar o educando com a importância da Física na compreensão e,
principalmente, no desenvolvimento dessas ferramentas de produção é facilitar o caminho
de aprender a conhecer, dentro de uma perspectiva científica e tecnológica, social e
econômica – relacionada com o mundo da informação. O que acontece quando
começamos a fazer comparações entre a complexidade de seres vivos e a complexidade
dos computadores? Um cromossomo tem cerca de 10 7 moléculas (Hawking, 2001, p. 155-
171) de adenina, guanina, citosina e timina; cada par de moléculas pode ser encarado
como um bit – os chips de computadores tem cerca de 10 8 componentes. A consideração
destas grandezas da um parâmetro de comparação entre sistemas biológicos e sistemas
artificiais – uma enorme quantidade de considerações precisa ser feita para que nossa
comparação seja válida, mas de fato podemos representar completamente um organismo
vivo com uma quantidade de informação comparável a que podemos representar os
sistemas artificiais.
“Aprender a fazer” (Brasil, 2002, 29 p.) é dar recurso ao indivíduo para se tornar
produtivo, realizar coisas, e assim modificar sua situação social, econômica, cultural e
política. Aprender a conhecer leva a aprender a fazer dentro do mundo da ciência e da
tecnologia. É o que acontece, dentro da área de conhecimento que estamos abordando,
23

quando apresentamos o que é o computador por dentro, mostrando que toda lógica é
desenvolvida com um sistema de chaveamento bastante rudimentar, a princípio, e que vai
crescendo em complexidade com o número de dispositivos.
“Aprender a viver” (Brasil, 2002, 29 p.) com a situação presente: um mundo em que o
trabalho humano é cada vez menos necessário, devido a crescente capacidade das
máquinas em realizar tarefas cada vez mais complexas e portanto crescimento econômico
não significa geração de empregos. Aprender a viver num mundo onde máquinas desafiam
a condição humana de superioridade, e é preciso estar pronto para as novas questões, até
existenciais, que se apresentam.
“Aprender a ser” (Brasil, 2002, 30 p.) e aprender a viver estão ligados e compreender
a própria existência sendo crítico o bastante para desenvolver pensamentos e julgamentos
de valor buscando se tornar a pessoa que se deseja ser é uma das nossas perspectivas
quando a consciência da realidade científica e tecnológica é alcançada – com relação ao
conteúdo deste trabalho.
A organização do ensino médio em três áreas: Linguagens, Códigos e suas
Tecnologias; Ciências Humanas e suas Tecnologias; e Ciências da Natureza, Matemática e
suas Tecnologias; tem como base a descompartimentalização de conhecimentos que
compartilham objetos de estudo, criando condições para o desenvolvimento da
interdisciplinaridade (entre disciplinas e entre áreas).
A aprendizagem de concepções científicas atualizadas do mundo
físico e natural e o desenvolvimento de estratégias de trabalho centradas
na solução de problemas é finalidade da área (Ciências da Natureza,
Matemática e suas Tecnologias), de forma a aproximar o educanto do
trabalho de investigação científica e tecnológica, como atividades
institucionalizadas de produção de conhecimento, bens e serviços (Brasil,
1999, p.33).

Como está dito acima e em concordância com o presente trabalho, ainda temos que:
Com esta compreensão, o aprendizado deve contribuir não só para o
conhecimento técnico, mas também para uma cultura mais ampla,
desenvolvendo meios para interpretação de fatores naturais, a
compreensão de procedimentos e equipamentos do cotidiano social e
profissional, assim como para a articulação de uma visão do mundo natural
e social. Deve se propiciar a construção de compreensão dinâmica da
nossa vivência material, de convívio harmônico com o mundo da
informação, de entendimento histórico da vida social e produtiva, de
percepção evolutiva da vida, do planeta e do cosmos, enfim, um
24

aprendizado de caráter prático e crítico e uma participação no romance da


cultura científica, ingrediente essencial da aventura humana (Brasil, 1999,
p.208).

Com relação ao presente trabalho, e o ensino de Física, o PCN se coloca da seguinte


forma:
A óptica e o eletromagnetismo, além de fornecerem elementos para
uma leitura do mundo da informação e da telecomunicação, poderiam,
numa concepção ampla, envolvendo a codificação e o transporte de
energia, ser o espaço adequado para a introdução e discussão de modelos
microscópicos. A natureza ondulatória da luz e sua interação com os meios
materiais, assim como os modelos de absorção e emissão de energia pelos
átomos, são alguns exemplos que também abrem espaço para uma
abordagem quântica da estrutura da matéria, em que possam ser
modelados os semicondutores e outros dispositivos eletrônicos
contemporâneos. [...] Modelos de condução elétrica para condutores e
isolantes poderiam ser desenvolvidos e caberia reconhecer a natureza
eletromagnética dos fenômenos desde cedo. [...] Poder-se-ia extender a
discussão de forma a tratar também elementos da eletrônica das
telecomunicações e da informação, abrindo espaço para compreensão do
rádio, da televisão e dos computadores (Brasil, 1999, p. 234).

Isto é uma concepção ambiciosa do aprendizado científico-tecnológico no Ensino


Médio e só com uma permanente revisão do que será tratado nas disciplinas se garantirá
atualização com o avanço do conhecimento científico e tecnológico.
Quanto as competências e habilidades (uma vez que elas são os critérios
orientadores da proposta de educação do PCN, como implementação da LDB), com
relação as Ciências da Natureza, Matemática e suas Tecnologias, área a qual pertence a
Física, no que se refere ao conteúdo deste trabalho, espera-se o desenvolvimento de
algumas das competências e habilidades abaixo:
25

Tabela 1 – Competências e Habilidades a serem desenvolvidas com o trabalho,


Competências e Habilidades
Desenvolver a capacidade de comunicação
Ler e interpretar textos de interesse científico e tecnológicos.
Interpretar e utilizar diferentes formas de representação (tabelas,
gráficos, expressões, ícones)
Produzir textos adequados para relatar experiências, formular dúvidas
Representação e ou apresentar conclusões.
Comunicação Identificar variáveis relevantes e selecionar os procedimentos
necessários para produção, análise e interpretação de resultados de
processos e experimentos científicos e tecnológicos.
Analisar qualitativamente dados quantitativos representados gráfica
ou algebricamente relacionados a contextos socioecônomicos,
científicos ou cotidianos
Desenvolver a capacidade de questionar processos naturais e
tecnológicos, identificando regularidades apresentando interpretações
e prevendo evoluções. Desenvolver o raciocíneo e a capacidade de
aprender.
Formular questões a partir de situações reais e compreender aquelas
Investigação e já enunciadas.
Compreensão Desenvolver modelos explicativos para sistemas tecnológicos e
naturais.
Utilizar instrumentos de medição.
Procurar e sistematizar informações relevantes para a compreenção
da situação-problema.
Elaborar estratégias de enfrentamento das questões.
Interpretar e criticar resultados a partir de experimentos e
demonstrações.
Articular o conhecimento científico e tecnológico numa perspectiva
interdisciplinar.
Fazer uso dos conhecimentos da Física, Química e da Biologia para
explicar o mundo natural e para planejar, executar e avaliar
intervenções práticas.
Compreender e utilizar a ciência como elemento de interpretação e
intervenção, e a tecnologia como conhecimento sistemático de
Contextualização sentido prático.
Sócio-Cultural Reconhecer o sentido histórico da ciência e da tecnologia,
percebendo seu papel na vida humana em diferentes épocas e na
capacidade humana de transformar o meio
Entender o impacto das tecnologias associadas as Ciências Naturais,
na sua vida pessoal, nos processos de produção, no desenvolvimento
do conhecimento e na vida social.
Fonte: Brasil, 2002, 215-217 p.
26

2.2 Ciência, Tecnologia e Sociedade – Problematização

O conhecimento precisa ter um papel conscientizador ao ser abordado na educação


escolar tornando-se um instrumento para uma melhor compreensão e atuação na
sociedade contemporânea. Certamente o conhecimento científico, particularmente o de
Física, tem uma contribuição fundamental na formação dos estudantes, sobretudo se
considerarmos o Ensino de Ciências para não-cientistas, como é o caso da maioria dos
estudantes que farão cursos fora da área de exatas (Pietrocola, 2001, p. 135).
De acordo com, George Snyder, a exploração didática de temas significativos que
envolvam contradições sociais e que proporcionam uma renovação dos conteúdos
programáticos, relativamente ao ensino de ciências é uma fonte de preocupação
significante e dentre suas proposições se destaca: articular na programação conteúdos que
possam provocar o que ele chama de “fascínio” dos jovens por aparatos tecnológicos e
explorar o tema “balanço benefício-malefício da produção científico-tecnológica”
(Pietrocola, 2001, p. 136). O problema abordado por este trabalho possui tanto
características de contradições sociais – uma vez que o objeto de estudo abordado
transforma o meio de produção e a sociedade – e também fala sobre aparatos tecnológicos
– uma vez que o tema é o funcionamento de dispositivos que viabilizam o funcionamento
dos computadores.
É uma prática no ensino de Física a proposta de problemas. O conteúdo cognitivo das
formulações contidas nos conceitos, modelos, leis e teorias da Física é contextualizado,
exemplificado e passível de ser apropriado a medida em que o aprendiz se envolve com o
processo de solução dos problemas. O processo de produção do conhecimento (gênese)
pode ser provocado quando nos deparamos com um problema, Bachelard afirma:
Antes de tudo o mais é preciso saber formular problemas. E seja o
que for que digam, na vida científica, os problemas não se apresentam a si
mesmos. É precisamente esse sentido do problema que dá a característica
do genuíno espirito científico. Para um espírito científico, todo
conhecimento é resposta a uma questão. Se não houver questão, não
pode haver conhecimento científico. Nada é dado. Tudo é construído (apud
Pietrocola, 2001, p. 128).

De acordo com Delizoicov (1991) há três momentos pedagógicos: (1)


problematização inicial em que se apresentam situações reais cotidianas dos alunos e
27

constata-se a posição dos alunos – o ponto culminante da problematização é fazer com


que os alunos sintam a necessidade de outros conhecimentos; (2) organização do
conhecimento em que os conhecimentos relativos a situação-problema são
sistematicamente estudados; e, por fim, (3) aplicação do conhecimento, que aborda
sistematicamente o conhecimento incorporado ao aluno para analisar e interpretar, tanto as
situações iniciais (problema) quanto outras situações (Pietrocola, 2001, p. 142-144).
Pretendemos trabalhar com a problematização e com o enfoque Ciência, Tecnologia
e Sociedade. O PCN, como sendo produto da LDB 9394/96, no que se refere ao ensino de
ciências, traz forte relação com as propostas de transformação no ensino de ciências
ocorridas nos EUA, a partir de 1950, e na Inglaterra. Esse fenômeno, que teve início nos
EUA, foi iniciado como reação ao desenvolvimento soviético que lançou o Sputnik. Outra
fonte de proposta de mudança no ensino se baseava na necessidade observada e
problematizada por pesquisadores e professores de ciência; e que tomou força e se
desenvolveu até o final do século passado – movimento que ainda esta em questão no
meio científico e escolar.

2.3 A Questão da Transposição Didática

Saber sábio, saber a ensinar e saber ensinado: na perspectiva do trabalho de Luccas


(2004), tendo como referência os trabalhos de Chevallard (1996 e 2001). Saber sábio é
completo uma vez que contém as relações que levaram ao desenvolvimento do
conhecimento, o problema, a questão científica, tecnológica, social, histórica, filosófica, e
econômica que favoreceu seu desenvolvimento – compreende considerações de conteúdo
epistemologicamente complexas, o que o torna difícil de dominar, transmitir, ou reconstruir
na atividade pedagógica.
O saber sábio é produto do avanço científico, por isso é técnico e para se tornar
objeto de trabalho pedagógico precisa ser tratado, isto é, revestido de estrutura e
ferramentas que aproximem este saber sábio dos educandos – tornando-se então saber a
ensinar e depois saber ensinado (Estruturação do conhecimento científico de maneira
lógica para apresentação).
28

Luccas (2004, 126-127 p.) coloca que uma abordagem histórica e filosófica pode
aproximar o leitor do saber sábio. Por meio do conhecimento tanto da estrutura, da origem
e do desenvolvimento deste saber, quanto das articulações estabelecidas por ele no
decorrer da história. Essa questão da aproximação do leitor do saber sábio é um dos
pontos de proximidade entre o nosso trabalho e a posição, colocada acima, de Luccas,
entendendo que o saber sábio e o ambiente em que é produzido é o conhecimento
científico e tecnológico que queremos trabalhar (tópicos avançados de ciência e tecnologia)
no ensino médio.
O saber ensinado “encontra-se registrado no plano de aula do professor e trabalhado
em sala de aula com educandos. [...] Os elementos que constituem esse saber – professor,
aluno e saber – inter-relacionam-se num mesmo âmbito” (Luccas, 2004, p. 117-124). Os
processos que transformam o saber sábio em saber a ensinar e, este último em saber
ensinado, dentro de um conjunto de considerações pedagógicas estabelecidas dentro das
concepções de Chevallard, recebem os nomes de transposições didáticas (Luccas, 2004,
p. 117-124).
O conteúdo de trabalho em sala de aula, saber ensinado, sofre desgaste moral e
distanciamento da realidade científica (saber sábio). Este processo é combatido tanto pela
nova orientação dada pelo PCN como em Chevallard (2000, p.31).
A relevância do contexto proposto por Chevallard (transposição didática, saber sábio,
saber a ensinar, e saber ensinado) dentro do presente trabalho é percebida em dois
aspectos: (1) a identificação de que o conhecimento científico e tecnológico é produzido em
uma esfera – e que este tem uma distância técnica considerável do que se pode trabalhar
em sala de aula; (2) o conhecimento científico deve passar por um processo de adequação
pedagógica e social – sem perder sua qualidade (coerência com a ciência atual).
Chevallard (2000, p.31) afirma que para restabelecer a compatibilidade (ciência,
tecnologia, sociedade), uma vez que o saber sofre desgaste moral e científico, se torna
indispensável a instauração de uma corrente de saber proveniente do saber sábio
(universo da produção de conhecimento).
Definir saber sábio, saber a ensinar, saber ensinado e transposição didática, criando
um ambiente de relações compreensíveis no contexto deste trabalho, não significa
trabalhar preso a teoria de Chevallard, o que nos leva a utilizar tais termos, e parte dos
29

conceitos desenvolvidos por ele, é o fato que seu trabalho e esses termos se mostram
como um bom modelo para compreendermos o que estamos fazendo.
De qualquer forma o conhecimento (ciência ou tecnologia) após sua produção sofre
uma estruturação de maneira que possa ser revestido por uma forma lógica de
apresentação:
De acordo com Reinchenbach (1961), ocorre uma reconstrução
racional, que diferência o processo como o ser humano (cientista) produz
um determinado saber e como o cientista (ser humano) apresenta-o
formalmente a seus pares. Nessa discussão percebemos a existência de
dois momentos. Entre um e outro, há um processo de reelaboração
racional que elimina elementos emotivos e processuais, valorizando
elementos isentos de sentimentos e encadeados logicamente. Aqui de
certa forma há uma transposição – não didática – mas, diríamos, científica,
caracterizada por uma despersonalização e reformulação do saber. (apud
Pietrocola, 2001, p. 80)

2.4 Avaliação Dentro do Processo

Generalizando, no contexto da educação, “a avaliação deve permear todo trabalho


realizado em sala, bem como servir de indicativo para o sucesso, ou não, do processo de
ensino e aprendizagem, principalmente para o professor” (Luccas, 2004, p.129), e
particularmente no presente trabalho.
A avaliação não faz sentido se não cumpre um papel pedagógico, entende-se
avaliação enquanto instrumento não apenas de classificação, ou hierarquização. A
avaliação serve em primeiro lugar ao diagnóstico, no entanto seu papel de formação
merece mais destaque que o anterior. “A avaliação é um momento privilegiado de estudo”
(autor não-identificado).
30

3 FUNDAMENTOS NO FUNCIONAMENTO DOS CIRCUITOS INTEGRADOS

3.1 Introdução ao Conteúdo do Programa do Curso

Um marco de grande importância na história da humanidade é a invenção do


transistor. Sua utilização no desenvolvimento dos computadores e seu aperfeiçoamento
com os processos de integração de milhões de dispositivos transistores em uma pastilha
de dimensões comparáveis ao centímetro quadrado (cm 2) possibilitou uma enorme
transformação nos processos produtivos e nas relações do homem com os meios de
produção.
Algumas pessoas podem fazer análises negativas da substituição de grande número
de trabalhadores por “máquinas de pensar”, no entanto o homem profissional e atuante,
nunca será substituído – mesmo com as mudanças no processo produtivo introduzidas
pela automação – se estiver amparado por um sistema educacional competente.
Substituição de homens por máquinas é produto de uma decisão política que orienta a
economia, e a máquina – em princípio – não é boa ou má, o que é bom ou mau é o uso
que se faz dela. A máquina liberta o homem das operações repetitivas, mecânicas – e até
perigosas – e que não satisfazem o que o espírito humano necessita. Com o uso das
máquinas o homem pode extrair mais do seu meio e, a máquina, não é “a devoradora de
empregos” e sim uma multiplicadora de recursos.
Tal é a magnitude das transformações introduzidas pela microeletrônica que há quem
pense em chamar a fase histórica que estamos vivendo de “Idade do Silício” – isto é uma
referência ao tipo de tecnologia empregada, como por exemplo: Idade da Pedra Polida,
quando os instrumentos eram feitos de pedras e sofriam um processo de polimento.

3.2 O Que é um Transistor

Os transistores são dispositivos baseados nas características de materiais


denominados semicondutores, como o silício (Si), o Arseneto de Gálio (GaAs) e o
Germânio (Ge). Esses materiais tem propriedades elétricas quanto a condução que os
31

tornam atraentes, para construção de dispositivos eletrônicos, devido a peculiaridades que


apresentam suas bandas de valência e condução quando dopados, ver 3.3 e 3.4.
Preparados para produção de circuitos integrados (CI) os materiais semicondutores
apresentam-se em altíssimo grau de cristalização, ou seja, seus átomos estão arranjados
de forma a reproduzirem suas distribuição atômica indefinidamente por todo material – uma
pilha ordenada de átomos.
O silício é obtido pela mineração do quartzito que passa por processos de purificação
que determinam uma pureza denominada pureza de grau eletrônico, que significa atingir
uma pureza de sete noves – 99,99999 % – (Baranauskas, 1990, 1.4 p.).
A produção do tarugo, que é uma peça cilíndrica com origem em uma semente
monocristalina e que resulta da solidificação de semicondutor ao redor dessa semente,
pode ser feita por mais de um método. O método descrito é denominado método
Czochralski. Outro método de obtenção é o Float Zone, mas o custo é mais elevado e a
qualidade é inferior ao método Czochralski.
Descreveremos o transistor TEC – Transistores de Efeito de Campo – também
conhecidos como FET – do inglês Field Efect Transistor – identificados pelo símbolo.

(a) (b)

Figura 3.2.1 – (a) Simbolo de um transistor TEC, de canal n. (b) Forma geométrica dos transistores TEC.
(CCS, 2004).

Aqui dreno (drain), fonte (source) e porta (gate) são três contatos através dos quais se
obtém o funcionamento do dispositivo. Em um circuito integrado digital esses transistores
32

são encadeados formando chaves lógicas, tais chaves representam eletricamente os


números 0 e 1. Todas informações que os computadores armazenam e processam são
traduzidas para o código binário de 0 e 1 e obedecem uma matemática denominada
álgebra de Boole.
Na figura 3.2.1 (b) podemos identificadar cinco regiões diferentes: (1) região dos
contatos de alumínio no dreno, fonte e na porta; (2) região tipo n que se refere a dopagem
da lâmina; (3) região tipo n + que representa uma parte dopada mais fortemente que o
substrato nas costas da lâmina; (4) região de óxido de silício (SiO 2) na porta e em alguns
outros locais e (5) região de dopagem tipo p + na fonte e no dreno. A região tipo p + é uma
região tipo p que por estar com uma dopagem mais alta é destacada como região tipo p +.

3.3 Banda de Valência e Banda de Condução

Quando se aproximam dois átomos, até o ponto de se ligarem como numa rede
cristalina, os estados quânticos de cada elétron do cristal se sobrepõem. Cada elétron
ocupa um estado quântico pois de acordo com o Princípio de Exclusão de Pauli: “dois
elétrons não podem ocupar o mesmo estado quântico”. O número de estados quânticos é
muito grande, da ordem do número de átomos na rede do cristal. Isto provoca uma alta
densidade de estados em determinadas regiões de energia, esta alta densidade de
estados em uma determinada região de energia é o que denominamos banda. Os elétrons
estão distribuídos em camadas, denominadas bandas, como a banda de valência e a
banda de condução. Observe a figura abaixo.
Entre as bandas existe um espaço livre de estados, ou seja, um salto de energia entre
uma banda e outra. Os materiais cristalinos são classificados em três tipos de acordo com
o tipo de bandas e o valor do salto de energia entre as bandas de condução e valência. Os
três tipos de sólidos de acordo com as características da estrutura de bandas são:
isolantes, semicondutores e condutores.
33

Figura 3.3.1 – Ilustração da formação de bandas através da superposição de estados quânticos em uma rede
cristalina (Christman, 1988, 19 p.).

Isolantes, como o diamante, tem o valor do salto de energia entre as bandas de


valência e condução muito grande. O nome deste salto de energia é energia de gap e no
diamente tem valor aproximado de 5,5 eV. Esse alto valor da energia de gap dificulta a
passagem de elétrons da banda de valência para a banda de condução, de modo que, o
processo de condução fica impedido.
Semicondutores como o silício tem um valor menor para este salto de energia. No
silício a energia de gap é aproximadamente de 1,1 eV.
Nos condutores a estrutura de bandas é um pouco diferente porque a banda de
condução fica cheia pela metade e, como há muitos níveis de energia para os elétrons
habitarem, não há necessidade que algum elétron salte para outra banda para conduzir.
Abaixo temos o modelo que nos ajuda a entender o que é banda – para esses três tipos
de materiais (Isolante, semicondutor e condutor).
34

(a) (b) (c)

Figura 3.3.2 – (a) Bandas de Semicondutor; (b) Bandas de Isolante e (c) Bandas de Condutor. (Halliday,
1993, 229-230 p.).

As tarjas cinza escuras representam níveis de energia (estados quânticos) que estão
ocupados e as tarjas cinza claro representam níveis de energia que estão vazios e podem
abrigar um elétron. Como podemos notar, no semicondutor a energia de gap (E g) é menor
que no isolante. No metal (condutor) a banda de condução encontra-se cheia pela metade.
Isto quer dizer que, no metal, os elétrons não precisam saltar para uma outra banda,
pulando uma região de energia que é proibida, para poderem conduzir. Esta diferença é o
que caracteriza um bom condutor (cobre), um semicondutor (silício) e um mal condutor
(diamante).

3.4 Dopagem Tipo n e Tipo p

O átomo de silício apresenta quatro elétrons na camada de valência, o processo de


dopagem é o que vai caracterizar o semicondutor tipo n e semicondutor tipo p. O
semicondutor tipo n é um material que apresenta um tipo de condução feita prioritariamente
por elétrons. Por que isto ocorre?
Na dopagem para obtenção de material tipo n é feita a introdução de um elemento
que tenha um elétron a mais na camada de valência, no caso o Fósforo (P). Esse elétron
que o Fósforo tem a mais que o silício não faz parte de nenhuma ligação covalente o que
não acontece com os quatro elétrons de valência do silício que estão fortemente ligados a
outro átomo de silício. Isto confere ao elétron excedente, do Fósforo, maior facilidade para
35

saltar da banda de valência e ir para a banda de condução e desta forma no material


semicondutor tipo n a condução se dá principalmente por elétrons.
Na dopagem do silício para obtenção de Semicondutor tipo p, o dopante introduzido
possui um elétron a menos que o silício na camada de valência, no caso três elétrons. Esse
dopante pode ser o Boro (B). O elétron que falta ao Boro dá lugar ao que chamamos
lacuna, isso faz com que o processo de condução se de prioritariamente por lacunas.
Lacuna é uma espécie de “buraco” que se desloca na rede cristalina e tem carga positiva.

Object 10

(a) (b) (c)


Figura 3.4.1 – (a) Silício não dopado. (b) Silício dopado com Fósforo, tipo n pois um elétron não participa da
ligação covalente do material, contribuindo assim para condução. (c) Silício dopado com Bóro, tipo p, pois
falta um elétron ao Boro criando uma lacuna que tem carga positiva e o tipo de condução no material é devido
as lacunas. (Halliday, 1993, 235 p.).

3.5 Funcionamento do Transistor de Efeito de Campo

Como foi colocado anteriormente os processos de condução dentro do semicondutor


podem ser feitos prioritariamente por portadores de carga negativa – o elétron que são
maioria no semicondutor tipo n – ou por portadores de carga positiva – as lacunas que são
maioria no semicondutor tipo p. No dispositivo semicondutor que estudamos existem
regiões tipo n e tipo p; a intersecção dessas regiões é denominada junção p-n – diodo. O
comportamento da corrente elétrica dentro de uma junção p-n é o principio de
funcionamento dos transistores. O diodo abaixo representado, pode ser ligado a uma
bateria em dois sentidos diferentes:
36

(a) (b)
Figura 3.5.1 – (a) Símbolo Elétrico de diodo e (b) características geométricas de um diodo, ou junção p-n.
(CCS, 2004)

Mesmo quando a junção p-n não esta ligada a nenhuma bateria, forma-se
expontâneamente uma região carregada negativamente no semicondutor tipo p e uma
região carregada positivamente no semicondutor tipo n. Como pode ser observado na
figura abaixo. O nome desta região é zona de depleção. Ocorre que no semicondutor tipo
n, existem elétron que tem facilidade em mudar de banda e no semicondutor tipo p existem
lacunas sobrando. Conseqüentemente pode-se imaginar que isto provoque uma migração
dos elétrons do semicondutor tipo n para o semicondutor tipo p. A atração entre as cargas
de sinais diferentes faz com que em um determinado momento haja uma saturação e o
movimento de cargas cessa, se mantendo estável.
A primeira forma de se ligar a junção p-n a uma bateria é a polarização direta, onde o
terminal positivo da bateria se liga ao semicondutor tipo p e o terminal negativo se liga ao
semicondutor tipo n. Na polarização direta a zona de depleção se estreita, observe a figura
abaixo:

Object 16

(a) (b)
Figura 3.5.2 – (a) Junção p-n em polarização direta. Representação simbólica do circuito e das correntes
existentes. (b) Junção p-n em polarização inversa e correntes exitentes. (Halliday, 1993, 239 p.).
37

Com esta redução da zona de depleção a resistência interna do dispositivo é muito


pequena e a corrente nele é grande.
A segunda forma para ligar uma junção p-n é a polarização inversa, onde o terminal
positivo da bateria é ligado ao semicondutor tipo n e o terminal negativo da bateria se liga
ao semicondutor tipo p. Ocorre nesta situação, como pode ser notado na figura abaixo, o
contrário ao que tinha acontecido na polarização direta. A zona de depleção se alarga,
aumentando a resistência do dispositivo, de modo que não haja corrente.
Este fenômeno relativo a condução e a corrente nas junções p-n é utilizado para
permitir passagem de corrente em um único sentido quando o diodo é alimentado por uma
fonte de corrente alternada. Observe, na figura 3.5.3, o que acontece com a tensão em um
circuito quando há um diodo presente e sua fonte é de corrente alternada.

(a) (b) (c)

Figura 3.5.3 – (a) Tensão sem o diodo. (b) Circuito de tensão alternada. (c) Tensão com o diodo. (Halliday,
1993, 239 p.).

No transistor de efeito de campo MOS (Metal Óxido Semicondutor) também


conhecido como MOSFET (Metal Oxide Semiconductor Field Efect Transistor) ou TECMOS
(Transistor de Efeito de Campo Metal Óxido Semicondutor) a tecnologia empregada na
fabricação é denominada técnologia planar, pois os dispositivos são fabricados em uma
pastilha plana através de diversas etapas como: (a) crescimento de óxido em superfícies
semicondutoras, (b) gravação de perfis a serem expostos ou cobertos por fotolitografia, (c)
implantação de íons para criar as dopagens tipo n e p, (d) corrosão de óxidos e metais e (e)
evaporação de metal para criação dos contatos e circuitos.
Nossos dispositivos são componentes semicondutores de três terminais: fonte, dreno
e porta. Seu funcionamento está baseado no funcionamento das junções p-n que é
38

característica do comportamento dos materiais semicondutores. Nos circuitos digitais a


função da porta, ou gate, é abrir o circuito para passagem de corrente ou fechá-lo – o que é
traduzido em termos de 0 e 1. Quando o circuito esta aberto ou fechado?
Observe o transistor MOS apresentado anteriormente e note que quando a tensão
aplicada na porta é negativa existe uma indução de cargas positivas formando um canal de
material semicondutor tipo p induzido, entre a fonte e o dreno. Este circuito, com a tensão
negativa aplicada, está aberto. A figura abaixo ilustra esta situação.

(a) (b)
Figura 3.5.4 – (a) Transistor MOSFET com tensão negativa aplicada na porta – formação de canal, com
passagem de corrente. (b) Transistor MOSFET sem tensão aplicada a porta – canal não formado, sem
passagem de corrente.

Caso a tensão na porta seja zero não há indução de canal e o circuito está aberto
como no dispositivo da figura 3.5.4 (b), acima.
O transistor também pode ser utilizado como amplificador de sinal e além dos
transistores de efeito de campo existem transistores com outros princípios de
funcionamento como os transistores bipolares.

3.6 Microeletrônica em Questão: História e Análise

Em 1947, na Bell Labs, é descoberto o efeito transistor por Bardeen e Brattain que é
explicado por seu chefe, William Schockley, em janeiro de 1948. O grupo ao qual
pertenciam estava tentando construir o transistor de efeito de campo que foi sugerido
teoricamente pela primeira vez por Lilienfiel em 1926 – ele queria modular a condutividade
39

de um semicondutor por meio de um campo elétrico e patenteou sua idéia, embora não
tenha tido sucesso na sua realização prática.
O prêmio Nobel de Física de 1956 é dado a Schokley, Brattain e Bardeen pela
invenção do transistor bipolar. A busca pelo transistor de efeito de campo continuou, sendo
que em 1952, I. Ross e G. Dacey demonstraram o primeiro JFET. Também em 1952 a Bell
Labs vende a licença para a tecnologia dos transistores. A Sony foi a primeira empresa a
fabricar um rádio totalmente transistorizado e a comercializá-lo, criando assim o mercado
de consumo para transistores.
O conceito de circuito integrado foi proposto em 1958 por J. Kilby, da Texas
Instruments, e a tecnologia planar nasce na Fairchild, em 1961. O termo planar se deve a
duas características: (1) os circuitos integrados utilizam somente uma das superfícies da
lâmina e (2) os antigos circuitos integrados tinham componentes de dimensões verticais
desprezíveis em relação as dimensões horizontais. Na tecnologia atual as dimensões
verticais e horizontais tem a mesma ordem de grandeza. Abaixo temos o primeiro
transistor, o primeiro circuito integrado e o primeiro circuito integrado de tecnologia planar.
Nestes 42 anos da tecnologia planar (1961-2003) ela passou por inúmeras evoluções e é
considerada a mais importante característica da microeletrônica.
(a) (b) (c)

Figura 3.6.1 – (a) Primeiro transistor bipolar. (b) Primeiro circuito integrado desenvolvido por J. Kilby em 1958.
(c) Primeiro circuito integrado de tecnologia planar fabricado na Fairchild. (Swart, 1999, 3-4 p.)
Em 1960 um grupo da Bell Labs, D. Kahng e M. Atalla, demonstraram o transistor de
efeito de campo (TEC), desenvolvido com tanto esforço. Era um transistor TECMOS, mas
apresentava baixa estabilidade o que fez com que mais dez anos se passassem antes de
40

seu uso comercial em larga escala. Atualmente mais de 85% do mercado semicondutor
corresponde a tecnologia C-MOS, na seção 3.1 abordaremos o significado desta sigla.
Há três gráficos, para os quais eu gostaria de chamar a atenção: (1) dimensões
mínimas empregadas nas estruturas em CI’s, (2) largura de linha dos CI’s dos
processadores 286 ao Pentium II e (3) evolução do número de dispositivos por CI.
(a)

10
Largura de linha em

1
micrometro

Industria
0,1 Desenvolvimento

1960 1965 1970 1975 1980 1985 1990

ano

(b)

Industria
Pesquisa
1 286
386
486
Largura de Linha em

Pentium
Microm etros

0,1 Pentium II

0,01

1982 1984 1986 1988 1990 1992 1994 1996 1998 2000 2002 2004
Ano
41

(c)

10
10
GSI
9
10

8
10
ULSI
com ponentes por pastilha

7
10

6
10
Núm ero de

VLSI
5
10

4
10
LSI
3
10
MSI
2
10

1
10
SSI
0
10
1960 1965 1970 1975 1980 1985 1990

Ano

Figura 3.6.2 – (a) Dimensões mínimas empregadas nas estruturas em CI’s. (b) Dimensões empregadas nas
estruturas dos Circuitos Integrados, do processador 286 ao Pentiun II. (c) Evolução do número de dispositivos
por CI. Note que os três gráficos estão em escala logarítmica. (Swart, 1999, 8-10 p.).

Na figura 3.6.2(a) podemos notar uma redução significativa nas dimensões dos
dispositivos em desenvolvimento. Em 1965 a dimensão era de 12 m e em 1990 chega aos
0,3 m. Isto se deve aos avanços tecnológicos nos processos de fabricação, em particular
nos processos de fotolitográfia. O aumento da área, dos CIs, foi de 0,2 cm 2 em 1965 para 2
cm2 em 1990. A área dos CI’s – chips – está relacionada com a densidade de defeitos, com
a melhora na qualidade dos processos houve uma queda na densidade de defeitos e, do
ponto de vista da produção, se torna possível aumentar a área. A figura 3.6.2(c) mostra o
aumento no número de dispositivos por CI, dos 100 dispositivos em 1968 para os dez
milhões de dispositivos em 1990. Neste gráfico há menção das siglas que dão nome a
cada era correspondente dentro da eletrônica: SSI (Small Scale Integration), MSI (Medium
42

Scale Integration), LSI (Large Scale Integration), VLSI (Very Large Scale Integration), ULSI
(Ultra Large Scale Integration) e GSI (Giga Scale Integration). Atualmente estamos
entrando na era GSI.
Para ter algo com que comparar o nível de desenvolvimento na área de eletrônica,
observemos os mapas abaixo, com as possibilidades de redução. Em 1960 seria possível,
em uma pastilha de 1mm2, construir um mapa da UNICAMP com todas as ruas em escala.

Figura 3.6.3 – Ilustração de mapas desenhados com detalhes de todas as ruas e rios em diversas fases
tecnológicas. (Swart, 1999, 11 p.).

Em 1975 desenhariamos um mapa do município de Campinas reduzindo a dimensão


da ordem de 40 km em uma pastilha de quatro milímetros de lado. Em 1990 seria possível
desenhar o mapa de São Paulo em uma pastilha de 12 milímetros de lado. Em 2000, um
43

mapa da América do Sul com todos os rios e ruas em escala, poderia ser construída em
uma pastilha de 20 milímetros de lado.
A maior densidade de integração permite a redução do número de chips por
equipamento. Isto reduz drasticamente o consumo de energia, bem como os custos gerais
e de montagem. Eliminando grande parte das conexões entre os chips e aumentando a
confiabilidade dos sistemas. A tendência é que o projeto se resuma ao projeto do chip.
A SIA (Semiconductor Industry Association) dos EUA elaborou um relatório (The
National Technology Roadmap for Semiconductors) que é um mapa da estrada para o
futuro no desenvolvimento das tecnologias de semicondutores. Neste mapa ela estabelece
para o ano de 2012 a implantação de tecnologia de dimensões mínimas de 50nm
(50x10-9m). A partir deste ponto limitações, físicas e tecnológicas, impedem a fabricação de
transistores com comprimento de canal menor. De acordo com o trabalho “Evolução de
Microeletrônica a Micro-Sistemas”, do professor Jacobus W. Swart, novos conceitos físicos
devem ser propostos em substituição aos dispositivos TECMOS e bipolares. Entre as
propostas existentes estão os dispositivos de bloqueio Coulombiano e dispositivos
quânticos onde se controla o estado do elétron de um átomo.
44

4 CRISTAIS, CRESCIMENTO DE CRISTAIS E OUTROS PROCESSOS

4.1 Elementos de Cristalografia

Átomos em um sólido não estão imóveis, cada átomo vibra com pequena amplitude
sobre uma posição fixa de equilíbrio. Esta posição fixa dá aos sólidos uma estrutura que os
distingue dos líquidos e dos gases. Líquidos e gases tem moléculas livres que se
movimentam sobre distâncias grandes e sua estrutura não é fixa, tanto que não possuem
forma definida, assumindo a forma dos recipientes que os contém. No caso dos gases a
liberdade é ainda maior, além da forma, seu volume pode variar muito; o que não ocorre
com os sólidos.
De acordo com a configuração das posições de equilíbrio dos átomos, existem três
classes maiores de sólidos: cristalinos, amorfos e policristalinos. Cristais são sólidos onde
as posições dos átomos formam um modelo geométrico que é repetido exatamente igual
através do sólido, sem mudar a composição, dimensão ou orientação do arranjo atômico. A
estrutura geométrica, que repetida forma o cristal, é denominada célula unitária. Nos
sólidos amorfos não há estruturas geométricas que se repetem regularmente e nos sólidos
policristalinos há um grande número de pequenos cristais. Os pequenos cristais
apresentam estrutura cristalina com repetições de modelos geométricos. A menor estrutura
geométrica, que se repetida, forma o cristal recebe o nome de célula unitária. Quando os
sólidos são objeto de estudo ou cálculos, a estrutura cristalina (repetições de uma estrutura
semelhante) reduz enormemente o trabalho envolvido em muitos cálculos.
Na tabela periódica os elementos químicos são colocados da esquerda para direita
em ordem crescente de número átomico. Elementos da mesma coluna tem propriedades
químicas similares e os sólidos formados por eles tem propriedades físicas similares.
As substâncias cristalinas são essencialmente todos os metais, parte relevante das
cerâmicas e certos polímeros, que cristalizam-se quando solidificam. O cristal é descrito
por uma rede geométrica espacial definida por três vetores a, b e c e superposto a ela uma
base com os átomos constituintes desta rede. Como mostra a figura abaixo, para o cristal
de NaCl (sal de cozinha).
45

Figura 4.1.1 – Cristal de NaCl. (Christman, 1988, 56 p.)

Há 14 tipos diferentes de redes tridimensionais, que foram identificadas por Bravais.


Elas são agrupadas em sete sistemas: (1)cúbico, (2)tetragonal, (3)ortorrômbico,
(4)monoclínico, (5)triclínico, (6)hexagonal e (7)trigonal. Na figura abaixo temos as
geometrias espaciais nas quais a, b e c são separados pelos ângulos ,  e . Sendo que 
está entre c e a,  está entre c e b e  está entre a e b. Observe a figura 4.1.2(a) e (b). Se
os pontos da rede estão apenas nos vértices da célula, a rede é chamada primitiva, e é
designada pela letra P. Células com pontos nos vértices e no centro da célula são
chamadas de corpo centrado, e designadas pela letra I (do alemão Innenbzentrierte).
Células com pontos nos vértices e nas faces, de cada lado, da célula são chamadas de
face centrada, e designadas pela letra F. Células com pontos no vértices e na base e topo,
sendo que nas laterais não há corpo, são chamadas: de base centrada, e designadas pela
letra C.
Como podemos observar na figura abaixo na rede cúbica a=b=c e ===90º. Isto
indica que a rede é construida com células na forma de cubos. A rede cúbica pode ter
estrutura primitiva (P), de corpo centrado (I), ou de face centrada (F). Uma célula
tetragonal, veja figura abaixo, apresenta base quadrada e lado retangular, portanto a=b c e
===90º. O sistema tetragonal pode ter células: primitiva (P), ou de corpo centrado (I).
Redes do sistema ortorrômbico apresentam seis faces retangulares com abc e
===90º. No sistema ortorrombico pode haver células: primitivas (P), de base centrada
C, de corpo centrado (I) e de face centrada (F). O sistema monoclínico apresenta células
46

na forma de um paralelograma oblíquo e podem ser do tipo: primitiva (P), e de corpo


centrado (I), sendo que ==90º.

(a)

(b)

Figura 4.1.2 – (a) Identificação dos vetores e ângulos. (b) Redes de Bravais. (Christman, 1988, 29 p.).
47

Uma rede tridimensional do tipo hexagonal pode ser formada por rede hexagonais
bidimensionais planas, de modo que os pontos estão diretamente um sobre o outro, em
que a=bc e ==90º e =60º. No sistema trigonal os ângulos são diferentes de 90º, ou
seja, ==90º e a=b=c. A rede triclínica possui abc e 90º.
Os seguintes elementos apresentam rede cúbica de corpo centrado: Cr, Li, Ba, Nb,
Cs, W. Rede cúbica de face centrada apresentam os seguintes: Al, Cu, Au, Pb, Ni, Ag. E
cúbica simples o cristal CsCl. Rede tipo diamante é uma rede cúbica de face centrada e
base de dois átomos, que também pode ser vista como duas redes cúbicas de face
centrada entrelaçadas. Os semicondutores silício e germânio apresentam a mesma
estrutura cristalográfica do diamante. O GaAs também apresenta a mesma estrutura que o
diamante, porém a base é constituida por um átomo de Ga e outro de As. Quando esta
estrutura é composta por mais de um átomo diferente seu nome é ZincBlende, como é o
caso dos seguintes semicondutores: CdS, InAs, InSb, AlP, além do já comentado GaAs.
A estrutura cristalina tem forte influência sobre as propriedades dos materiais. A
estrutura do carbono por exemplo, na forma de diamante é dura e isolante, na forma de
grafite é mole e condutora.
Os metais nobres apresentam estrutura cúbica de face centrada, enquanto os metais
das colunas IIA e IIB da tabela periódica apresentam estrutura hexagonal (HCP –
Hexagonal Close-Packed). Os gases inertes solidificam a baixas temperaturas para formas
estruturas cúbicas de face centrada. Todos os metais alcalinos tem estrutura cúbica de
corpo centrado (BCC – Body Centered Cubic). O galium e o índio são tetragonais; Iodo,
oxigênio e enxofre são ortorrômbicos; e arsênio, antimônio, bismuto e mercúrio são
trigonais. Para muitos sólidos a base é grande e a estrutura é bastante complexa.
Desvios de uma estrutura ideal podem ser de quatro tipos: pontuais, lineares,
planares e volumétricos. Os defeitos pontuais podem ser de três tipos: (1) vacâncias, que
são espaços vazios na rede que deveriam ser ocupados por átomos; (2) átomos
intersticiais, que são átomos que ocupam posições entre pontos da rede (átomo fora de
lugar); e (3) impurezas que são átomos de tipo diferente dos átomos do cristal – as
impurezas podem ocupar lugares substitucionais na rede ou intersticiais. Na figura abaixo
podemos ver os principais defeitos pontuais.
48

Object 30

Figura 4.1.3 - Defeitos pontuais: A vacância, B átomo intersticial, C impureza e D impureza intersticial.
(Christman, 1988, 58 p.).

Os defeitos lineares podem ser: (1) deslocações de borda ou cunha, (2) deslocações
tipo parafuso, e (3) discordância de borda/parafuso. Os defeitos planares podem ser: (1)
falhas de empilhamento (stacking faults), (2) cristais gêmeos e (3) contorno de grão. Os
defeitos volumétricos podem ser precipitados de: (1) vacâncias, (2) impurezas. Estes
defeitos podem ser vistos na figura abaixo.

(a) (b) (c)

Object 34 Object 36

Figura 4.1.4 – Defeitos (a) lineares, (b) planares e (c) volumétricos. (Swart, 1999, Christman 1988, 197p.).
49

4.2 Porque o Silício?

Em 1947 os primeiros transistores inventados eram de germânio. Porém o germânio


(Ge) apresenta limitações: (1) sua energia de gap é reduzida (0,66eV); (2) a temperatura
de operação dos dispositivos é menor que 100ºC; (3) não é adequado a tecnologia planar,
pois o óxido de germânio (GeO 2) é difícil de ser obtido, dissolve-se em água e dissocia-se
a 800ºC. Embora a obtenção de cristais de germânio seja mais fácil devido a menor
temperatura de fusão – Ge (936ºC) e Si (1420ºC) – o silício é mais apropriado pois: (1) tem
energia de gap maior (1,1eV); (2) apresenta temperatura de operação de até 150ºC; (3) é
facilmente oxidável, adequando-se a tecnologia planar; e (4) tem custo de purificação até o
grau eletrônico que é cerca de um décimo do custo do germânio.
Atualmente (2002) o silício tem cerca de 95% do mercado de semicondutores.
O GaAs (arseneto de gálio) tem algumas propriedades superiores, quando
comparado ao silício, e existem nichos de aplicações tecnológicas em que o silício não
pode ser utilizado – laser é um exemplo. As propriedades superiores do GaAs são: maior
mobilidade eletrônica (aproximadamente dez vezes); maior velocidade de chaveamento
em dispositivos digitais; substratos semi isolantes; estrutura de bandas com gap direto,
aumento da imunidade a radiação ionizante. Tais propriedades tornam dispositivos como
laser, fotocélulas e células solares menos eficientes quando feitos em silício. O silício não é
abandonado devido a algumas características como: facilidade de obtenção de óxido, ser
menos quebradiço, custo aproximadamente 20 vezes menor, entre outras vantagens. O
silício é o segundo elemento em abundância na terra, o primeiro é o óxigênio.
Aplicações para o GaAs estão nas telecomunicações, sistema digitais de alta
velocidade, dispositivos ópticos. O mercado mundial para GaAs está em torno de dois
bilhões de dólares (2002).
Circulava no meio da eletrônica a frase: “GaAs foi, é e sempre será o material do
futuro”, erroneamente induzindo a pensar que o GaAs é muito bom mas nunca será
comercialmente aplicado. Isto não corresponde a realidade atual. Embora o silício seja
dominante muitas empresas trabalham com a tecnologia do GaAs.
Uma importante característica do Si, como vantagem sobre o GaAs, é sua
condutividade térmica superior – o que torna a dissipação térmica de potência mais fácil.
50

4.3 Obtenção de Cristais de Silício

Na obtenção de uma lâmina (wafer) de silício como a da figura abaixo:

Figura 4.3.1 - Lâmina de semicondutor tipo p com orientação {111}.

que pode ter diâmetro de 100 mm, por exemplo, o corte indica a orientação do cristal
e o tipo de material semicondutor da lâmina (tipo n e tipo p); é produzido um tarugo (objeto
de forma cilíndrica), crescido a partir de uma semente cristalina que é mergulhada em
silício derretido e retirada por processo de puchamento vertical.
Esse tarugo passa por um processo de desbaste e é serrado em finas lâminas que
passam por processos mecânicos e químicos de polimento para que o substrato (wafer ou
lâmina) apresente uma superfície mais lisa o possível. São necessárias varias etapas no
processo de preparação do substrato até obter-se um dispositivo eletrônico. Tais processos
podem ser acompanhados pela figura 4.3.2 abaixo.
O Brasil possui uma das maiores reservas mundiais de quartzo e quartzito de alta
qualidade. Como mencionado no capitulo 1, a obtenção de uma lâmina de silício começa
com a mineração do quartizito. Depois da mineração vem o processo de purificação na
obtenção de silício policristalino de grau eletrônico de pureza; a obtenção dos tarugos de
silício monocristalino e por fim a serragem e polimento das lâminas. Conforme esquema
abaixo.
A purificação até o grau metalurgico de pureza, 98%, é obtida através da
transformação química regida pela equação abaixo:

SiO2 + 2 C  Si + 2 CO
51

Esse material, silício de grau metalúrgico de pureza (98%), é mais usado em ligas
metálicas e na produção de polímero do tipo do silicone. Na equação química acima o
quartizito é o SiO2 e a origem do carbono, que permite a liberação do silício, é o coque ou
carvão mineral misturado ao quartzito no forno de arco elétrico, que pode ser visualizado
na figura 4.3.3.

Mineração

Oxidação Foto-
Purificação até E Limpeza
gravação
o Grau
eletrônico

Metali-zação
Corrosão
Crescimento de
Monocristal de Silício e
Serragem para
Circuito
obtenção das Lâminas
Integrado

Fabricação do
Circ. Integrado

Figura 4.3.2 - Etapas na obtenção de lâminas, ou substratos, empregadas na microeletrônica e na obtenção


do circuito integrado.
52

Object 40

Figura 4.3.3 - Reator de purificação do quartizito para silício de grau metalúrgico. (Baranauskas, 1990, 1.3 p.).

Na próxima etapa o Si de grau metalúrgico (G.M.) é triturado e convertido em


triclorosilana (SiHCl3) pela reação com HCl, como mostra a equação abaixo:

Si + 3 HCl  SiHCl3 + H2

O gás SiHCl3 sofre destilação fracionada, para obter-se silício de grau eletrônico, sigla
GE, de pureza. O esquema do equipamento pode ser visto na figura abaixo:

Object 42

Figura 4.3.3 – Sistema de purificação do silício de grau metalúrgico para silício de grau eletrônico de pureza.
(Baranauskas, 1990, 1.4 p.).
53

No próximo estágio a triclorosilana de grau eletrônico de pureza entra em um reator


de CVD (chemical vapor deposition – deposição por fase vapor), para condensar em silício
policristalino onde ocorre a reação abaixo:

Figura 4.3.4 - Obtenção de silício policristalino, de grau eletrônico de pureza, no reator CVD. (Baranauskas,
1990, 1.5 p.).
Uma vez obtido o silício policristalino, de grau eletrônico, este é colocado no
equipamento que fará o crescimento do tarugo de silício monocristalino. O método usado
pode ser o Czochralski (CZ), já mencionado anteriormente, ou o método de Fusão Zonal
(FZ). Existem várias diferenças nas características finais do silício crescido por cada um
dos métodos. O processo CZ é capaz de produzir lâminas de diâmetros maiores. Neste
método há contaminação do silício por carbono do grafite dos aquecedores e por oxigênio
das paredes de quartzo do cadinho onde é crescido o silício monocristalino. Observe a
figura 4.3.5(a) da semente sendo mergulhada no cadinho com o silício derretido em quatro
momentos diferentes e a figura 4.3.5(b) que mostra um desenho mais detalhado do
equipamento de crescimento CZ. Note que ao final do crescimento de um tarugo não deve
sobrar silício pois este pode solidificar e partir o cadinho.
(1) (2) (3) (4)

Object 48

(a)
54

Object 50

(b)
Figura 4.3.5 - (a) Quatro momentos do método CZ: (1)descida da semente; (2) encontro, na descida, da
semente com a superfície do silício derretido; (3) início da subida; (4) término do processo de crescimento. (b)
detalhes do equipamento de crescimento CZ. (Baranauskas, 1990, 1.8 e 1.9 p.).

No crescimento cristalino pelo processo de fusão zonal (FZ) – do inglês Floating Zone
– parte-se de um tarugo de silício policristalino de dimensões próximas ao tarugo
monocristalino desejado. No processo FZ existe uma espira aquecedora que força um
estrangulamento do tarugo policristalino, através do derretimento. Quando o silício
derretido solidifica-se, em contato com a semente monocristalina, cresce como um cristal
monocristalino. Observe a figura 4.3.6(a), onde vemos o equipamento FZ e 4.3.6(b) onde
temos o detalhe dos tarugos. Note que o processo de crescimento pode ser feito de cima
para baixo ou vice-versa.
55

(a) (b)

Object 54

Figura 4.3.6 – (a) Equipamento de crescimento FZ. (b) Detalhe da semente e da espira. (Baranauskas, 1990,
1.10 e 1.11 p.).

No processo FZ não há incorporação de oxigênio e carbono, pois o silício


policristalino não entra em contato com outro material, como ocorre no método CZ. Tanto
no método de fusão zonal (FZ) como no Czochralski (CZ) pode ser incorporado um
dopante. No CZ o dopante é derretido com o silício poli, no FZ um gás contendo o dopante
é liberado na câmara. É interessante notar que os dopantes incorporados no monocristal,
pelo método CZ, contribuem para as características físicas das lâminas – a resistência a
quebra é um exemplo de melhora na qualidade.
Após a obtenção do tarugo é feito o desbaste, a identificação e a serragem das
lâminas. De acordo com as figuras 4.3.7(a) e (b).
56

Object 56

(a) (b)

Figura 4.3.7 – (a) Desbaste e (b) serragem. (SWART, 1999, 232 p.).

Depois de passar por um polimento com Al 2O3 e glicerina a lâmina tem suas bordas
arredondadas como ilustra a figura abaixo.
(a) (b)

Figura 4.3.8 – (a) Arredondamento das bordas da lâmina de silício. (b) polimento final da superfície do
substrato (lâmina). (SWART, 1999, 232 e 233 p.).

Todas as ferramentas de desbaste, corte e arredondamento são adiamantadas para


resistirem a dureza do silício. Após o arredondamento é feito uma corrosão química de
aproximadamento 20m com HNO3; HF e HC2H3O2 na proporção 4:1:3 e por fim um
polimento com uma solução coloidal de partículas (SiO 2) de aproximadamente 100
ângstrons (10-10m) com NaOH em água. Como mostra a figura acima.
57

4.4 Deposição de Filmes Finos

Dispositivos VLSI empregam uma grande variedade de filmes finos. Esses filmes
podem ser isolantes, metálicos ou semicondutores e podem ser obtidos por crescimento
térmico, deposição física ou química. Nestes filmes características como alta adesão, baixo
estresse e boa cobertura de degrau são alguns dos principais requisitos. Cada ano essas
características vêm evoluindo com a melhoria nas técnicas e introdução de novos materiais
(Morimoto, 1999).
Na deposição física duas tecnologias são empregadas: a evaporação térmica que
ainda é utilizada em laboratórios de pesquisa; e o “sputering” que possui características
superiores. Ao aquecermos continuamente um determinado material que esteja no estado
sólido, este passa para o estado líquido (fusão) e posteriormente para o estado gasoso
(evaporação). A sublimação é o processo onde o material passa diretamente do estado
sólido para o estado gasoso. Na deposição por evaporação térmica tanto a evaporação
como a sublimação são empregadas. Observe a figura de uma evaporadora, abaixo:

Figura 4.4.1 – Esquema de uma evaporadora (Morimoto, 1999, 2 p.).

Quando a temperatura atinge o ponto de evaporação do material, os átomos liberados


vão se depositar sobre a superfície dos substratos. As principais características da
deposição por evaporação são: (1) baixo custo, (2) repetibilidade, (3) boa uniformidade e
(4) baixo índice de contaminação. Apesar destas vantagens, duas desvantagens são
58

características deste processo: (1) baixa cobertura de degrau e (2) extrema dificuldade em
depositar ligas e compostos metálicos.
A outra técnica de deposição física, o sputering, ou deposição anódica, é hoje o
principal método de deposição de filmes metálicos em processos de microeletrônica. Nesta
técnica há formação de um plasma entre dois eletrodos, os íons formados bombardeiam
um alvo com o material a ser depositado. Abaixo temos um esquema de deposição por
sputering.

Figura 4.4.2 – Esquema de deposição por sputering. (Morimoto, 1999, 3 p.).

Existem sistemas de “sputering” de dois tipos: o de corrente continua (DC) e o de


rádio freqüência (RF). O “sputering” de corrente continua é o preferido para deposição de
materiais metálicos, devido a sua simplicidade. Quando os filmes a serem depositados não
são metálicos aplica-se o “sputering” por rádio freqüência, pois estes materiais sofrem
acumulo de carga na superfície do substrato, o que paralisa o processo de deposição.
Assim a variação entre potenciais positivos e negativos, na rádio freqüência, libera a carga
acumulada e a deposição prossegue.
A utilização de campo magnético no processo de sputering provoca um movimento
em espiral dos elétrons, aumentando assim o número de colisões, a densidade de íons, o
bombardeio do alvo e por conseqüência aumentando a taxa de deposição.
O sputering é utilizado principalmente para deposição de metais (Al, Cu, Ti, Co, Ni,
etc) e suas ligas como Al+1%Si+2%Cu e TiW; outros materiais, como óxido do silício,
podem ser depositados por este método mas métodos de deposição química são
preferidos.
59

Deposição química por fase vapor, do inglês chemical vapor deposition (CVD), é a
formação de um filme sólido não volátil sobre um substrato (lâmina) pela reação de gases
reagentes que contém os constituintes necessários a formação do filme. Os gases
reagentes são introduzidos numa camara de reação e são decompostos reagindo na
superfície aquecida para formar o filme fino. A reação ocorre não apenas sobre o substrato
(reação heterogênea) mas também ocorre no corpo do gás (reação homogênea). A reação
heterogênea é desejável e é ela que produz efetivamente o filme fino, enquanto a reação
homogênea é prejudicial ao processo provocando formação de partículas na câmara,
consumindo os reagentes, reduzindo a qualidade do filme e a taxa de deposição.
A deposição de filme por CVD pode ser controlada por dois processos distintos: (1) a
taxa de reação e (2) o transporte de massa. A taxa de reação se refere a velocidade em
que ocorrem as reações na superfície do substrato. Abaixo de uma certa temperatura a
deposição é limitada pela taxa de reação, acima desta temperatura o que limita a taxa de
deposição é o fluxo de gases reagentes até a superfície, ou seja, o transporte de massa.
Nos processos de deposição dominados pela taxa de reação o controle rígido da
temperatura sobre toda superfície da reação se faz necessário, pois o volume de reagentes
chega até esta superfície numa velocidade maior que o consumo dos reagentes, assim o
fluxo de gases fica relegado a uma importância menor que o controle da temperatura. Em
processos onde a taxa de reação é grande e o consumo dos reagentes é maior que a
chegada destes a superfície de reação, a taxa de deposição é limitada pelo transporte de
massa, ou seja, o fluxo de reagentes até a superfície de reação; nestes processos a
importância de um fluxo bem controlado sobre a superfície de reação é maior que o
controle da temperatura. Observe o gráfico da taxa de deposição como função do inverso
da temperatura, abaixo:
60

Figura 4.4.3 – Taxa de deposição como função do inverso da temperatura. (Morimoto, 1999, 5 p.).

Observando o gráfico podemos notar que com o aumento da temperatura da


superfície, a velocidade de reação aumenta e com isto aumenta o consumo dos reagentes.
Em determinado momento a taxa de reação aumenta tanto que excede a taxa com a qual
as espécies reagentes chegam até a superfície. Neste ponto a taxa de deposição deixa de
ser limitada pela taxa de reação e começa a ser limitada pelo transporte de massa. No
gráfico os dois momentos da deposição (limitada por taxa de reação e limitada por
transporte de massa) podem ser observados separados por uma reta vertical.
Podemos ver que a baixas temperaturas a taxa de reação de superfície é baixa e a
taxa com a qual os reagentes chegam a superfície é maior que o seu consumo. Reatores
que operam em regime da taxa de reação se preocupam mais com a uniformidade da
temperatura enquanto reatores que operam em regime de transporte de massa são
projetados para terem um bom fluxo dos reagentes até a superfície de reação. O regime de
deposição afeta o projeto dos reatores.
Deposição química (CVD) é desenvolvida em diferentes processos e classificada em
dois tipos de acordo com a pressão do reator: (1) de Pressão Atmosférica e de (2) Baixa
Pressão. Esses dois sistemas são conhecidos pelas siglas APCVD e LPCVD,
respectivamente de, Atmospheric Pressure CVD e Low Pressure CVD. Além da
classificação quanto a pressão de deposição as técnicas de deposição por CVD podem ser
classificadas pelo uso ou não de plasma e pelo tipo de reator. Abaixo temos um diagrama
com os principais métodos de CVD.
61

Figura 4.4.4 – Diagrama dos tipos de reatores CVD. (Morimoto, 1999, 6 p.).

Object 64

Figura 4.4.5 – Reatores: (a) APCVD de processamento contínuo do tipo injetor de gás; (b) APCVD de
processamento contínuo do tipo pleno. (Morimoto, 1999, 6 p.).

(a) (b)
Figura 4.4.6 – Reatores LPCVD de parede quente (a) e fria (b). (Morimoto, 1999, 7 p.).
62

Reatores de pressão atmosférica foram os primeiros a serem utilizados pela industria


de microeletrônica. Como vantagens apresentam uma configuração simples e tem taxa de
deposição alta. Como desvantagens apresentam contaminação por partículas devido as
reações na fase gasosa, necessidade de alto fluxo de gases e um índice de cobertura de
degrau pobre.
Os filmes de SiO2 depositados a baixas temperaturas (abaixo de 500ºC) em reatores
APCVD, podem ser obtidos pela reação da silana (SiH 4) com oxigênio (O2), conforme
reação abaixo:

SiH4 + O2  SiO2 + 2 H2
63

5 FABRICAÇÃO

5.1 O Processo Abordado

Na disciplina IE326 – Tópicos em Eletrônica II na Universidade Estadual de


Campinas, tendo como professores os senhores Jacobus W. Swart e Ioshiaki Doi, foram
produzidos, em laminas de silício, dispositivos semicondutores de técnologia MOS.
A sigla MOS vem dos materiais empregados na fabricação dos dispositivos: metal,
óxido e semicondutor. A função do metal é fazer os contatos de fonte, dreno e porta e
definir os circuitos dos dispositivos fabricados – o metal utilizado foi o alumínio. Os óxidos,
são excelentes isolantes, sua função esta diretamente ligada ao funcionamento do
dispositivo TEC. Na porta (gate), de um transistor TEC, existe um óxido bem fino que
impede passagem de corrente. O resultado é que a indução de canal é provocada apenas
pelo campo elétrico resultante da aplicação de tensão no contato da porta que como
mencionamos anteriormente repele os elétron do canal induzindo formação de canal tipo p
entre fonte e dreno. Além disso a função dos óxidos, no caso óxido de silício (SiO 2), é isolar
os demais dispositivos do CHIP. O semicondutor; sendo tipo n, tipo p ou intrínseco (não
dopado); vai formar as junções que atuam de maneira ativa proporcionando o resultado
final desejado no dispositivo.
A tecnologia MOS pode ser caracterizada como tecnologia p-MOS, n-MOS e C-MOS.
Na tecnologia p-MOS o canal induzido entre fonte e dreno é de semicondutor tipo n que se
torna, devido a indução, tipo p – abrindo o dispositivo. Esta é a técnologia que empregam
os dispositivos em questão neste trabalho – os dispositivos fabricados no laboratório do
Centro de Componentes Semicondutores (CCS) em campinas são de tecnologia p-MOS. É
interessante saber que a técnologia C-MOS tem dispositivos p-MOS e n-MOS, o C significa
complementar.
64

5.2 A Lâmina Empregada e Seu Processo de Limpeza

A lâmina de silício – wafer ou substrato – utilizada no processo de fabricação descrito


tem espessura de 0,29 mm, apresenta a quantidade de 1,45 x 10 15 dopantes por centímetro
cúbico (cm3), é substrato semicondutor tipo n. A orientação cristalográfica das lâminas de
silício podem ser duas <100> e <111>; a lâmina empregada tem orientação cristalográfica
<100>.
A limpeza das lâminas de silício é fundamental num processo de microfabricação.
Todo processo de microeletrônica é realizado em um laboratório onde o ambiente é livre de
impurezas através de um sistema de purificação do ar e as salas são denominadas salas
limpas. Mesmo dentro da sala limpa ainda existem partículas e por isto a limpeza é
realizada em uma região do laboratório denominada capela, que fica protegida do ambiente
restante, onde o grau de pureza do ar é bem maior. Para purificar todo o ar do ambiente
com o grau de pureza exigido o custo seria muito alto por isso utilizam-se as capelas.
Para garantir uma limpeza eficaz, com a menor quantidade possível de impurezas,
segue-se um processo padrão que consiste na seguinte seqüência de etapas: (1) remoção
da gordura com uma solução de H 2SO4 e H2O na proporção 4:1 a temperatura de 75ºC, a
lâmina fica imersa por 10 minutos e passa por um enxágüe de três minutos em água
corrente e mais três minutos em um béquer com água – toda água utilizado nesta etapa e
nas etapas seguintes é água deionizada; (2) remoção do óxido de silício (SiO 2) da
superfície da lâmina com uma solução de HF e H 2O na proporção de 1:10 a temperatura de
75ºC, a lâmina é imersa na solução por 30 segundos numa operação que deve ser repetida
até que a lâmina aparente estar seca (não reter gotas em sua superfície), segue-se um
enxágüe de três minutos em água corrente e mais três minutos dentro de um béquer com
água; (3) remoção do restante da gordura e de alguns metais que possam estar na
superfície da lâmina com uma solução de NH 4OH, H2O2 e H2O na proporção 1:1:5 a
temperatura de 75ºC, a lâmina fica imersa por 10 minutos e passa por enxágüe em água
corrente de três minutos e mais três minutos em um béquer com água; (4) remoção dos
metais da superfície do silício com uma solução de HCl, H 2O2 e H2O na proporção de 1:1:5
a temperatura de 75ºC, a lâmina fica imersa por 10 minutos e passa pelo enxágüe em água
corrente de três minutos e mais três minutos dentro de um béquer com água. Após o
65

enxágüe em água as lâminas devem ser secas com jato de nitrogênio e colocadas em
caixas para que não sejam expostas ao ambiente do laboratório. As soluções que sobram
da limpeza são reservadas para posterior neutralização e descarte.
Abaixo temos uma figura do substrato limpo e pronto para iniciar o processo de
oxidação.

Object 68

Figura 5.2.1 – Lâmina ou substrato tipo n limpo e isento de óxido e de impurezas. (CCS, 2004).

5.3 Oxidação

O crescimento de óxido de silício sobre silício é uma característica básica da


tecnologia planar. O óxido é um dos três componentes básicos dos nossos dispositivos
tendo função de isolar os componentes no circuito integrado e ser a peça chave no
funcionamento da tecnologia TEC (Transistor de Efeito de Campo); onde o fino óxido de
porta impede corrente fazendo com que o dispositivo transistor sinta somente o efeito do
campo elétrico devido ao potencial aplicado na porta ou gate do transistor. O óxido de
silício também pode ser usado como proteção para implantação de íons.
O controle preciso da espessura da camada de óxido e o conhecimento da cinética do
processo de oxidação são aspectos de grande importância na fabricação dos dispositivos.
O óxido de silício (SiO2) pode ser obtido por um processo de deposição química por fase
vapor – CVD; por oxidação eletroquímica ou ainda por reação em plasma. A oxidação
térmica é freqüentemente empregada através das seguintes reações:

calor
Si + O2  SiO2

calor
Si + H2O  SiO2 + 2 H2
66

A oxidação térmica do silício se dá em fornos de quartzo com diâmetros maiores que


os das lâminas a serem oxidadas, em temperaturas nas vizinhanças dos 1000ºC. Um gás
contendo a espécie oxidante, seja O 2 ou H2O, flui através deste forno, que é o reator, e ao
passar pelas lâminas contidas no forno a velocidade da ordem de um centímetro por
segundo (1 cm/s) acontece a oxidação. A oxidação térmica se dá por meio do movimento
das espécies oxidantes através da camada de óxido e não pelo movimento dos átomos de
silício para a superfície do óxido, como acontece com o cobre.
Existem três passos para que os oxidantes alcancem a superfície de reação: (a)
devem ser transportados do gás para a interface óxido-gás, (b) devem se difundir através
da camada de óxido já existente e (c) devem reagir com o silício da interface óxido-silício.
Em nosso processo de fabricação a primeira oxidação é realizada a temperatura de
1000ºC. Ao entrarem no forno as lâminas são expostas a uma atmosfera de nitrogênio por
10 minutos. Depois dos minutos iniciais são colocadas em atmosfera de óxigênio por mais
10 minutos. Começa então a oxidação úmida, além do fluxo de oxigênio que continua
sendo liberado, são gotejadas 63 gotas de água por hora durante 180 minutos. Após esse
tempo a atmosfera se torna novamente, uma atmosfera de nitrogênio por mais 10 minutos
e as lâminas são retiradas. Neste processo espera-se obter um óxido da espessura de
0,735m o que equivale a 7,35 x 10-7m.
Uma das lâminas é clivada (quebrada) em quatro cacos para que sejam feitas
medidas para verificar se o processo esta tendo bons resultados. O óxido obtido pode ser
visto na figura abaixo.

Figura 5.3.1 – Lâmina de silício oxidada. (CCS, 2004).


67

5.4 Litografia

A palavra litografia é de origem grega e significa grosseiramente “gravura em pedra”.


Na produção de circuitos integrados por tecnologia planar há a necessidade de transferir o
desenho dos componentes e circuitos para a lâmina de semicondutor. Isto é obtido através
dos processos litográficos que são realizados com o auxílio de uma máscara, que pode ser
de quartzo, com os desenhos dos componentes. Os processos litográficos consomem
cerca de 60% do tempo total empregado na microfabricação.
Os materiais empregados na confecção das máscaras para processos de
fotogravação podem ser o vidro, para comprimento de onda da radiação de até 0,4 m; ou
o quartzo, para comprimentos de onda inferiores, que ficam na região do ultra violeta
profundo. Quanto menor o comprimento de onda maior a energia dos fótons. A
transparência é uma característica muito importante para os materiais da máscara, os
vidros utilizados tem transparência maior que 90%.
Na fabricação dos nossos dispositivos são realizadas quatro fotogravações, ou
fotolitografias. Para tanto são utilizadas quatro máscaras com os perfis geométricos
diferentes correspondendo a: (primeira máscara) abertura do óxido para implantação das
regiões semicondutoras tipo p; (segunda máscara) abertura da porta, dreno e fonte para
oxidação de porta (óxido de gate); (terceira máscara) abertura dos contatos de dreno e
fonte para metalização; e (quarta máscara) corrosão do alumínio para separação de cada
componente e delineação dos circuitos.
Um material sensível a radiação empregada e resistente ao processo que queremos
aplicar a lâmina é usado como cobertura. Este material, denominado resiste, é
sensibilizado pela radiação nas regiões expostas através da máscara. No nosso caso a
radiação é luz ultravioleta da máquina fotoalinhadora. No processo de aplicação de resiste
é utilizado um aparelho denominado spinner que imprime rotação à lâmina para espalhar a
solução que dará origem a camada de resiste. Antes de aplicar o resiste são aplicadas
algumas gotas de uma substância promotora de aderência e é ligado o spinner por 30s em
rotação de 7000rpm (rotações por minuto). O nome dessa substância é hexametildisilazane
68

(HMDS). Após aplicação do promotor de aderência, são gotejadas nove gotas do resiste
AZ1350J sobre a lâmina que é submetida a rotação de 7000rpm por 30s.

Figura 5.4.1 – Lâmina de silício oxidada e coberta com o fotoresiste. (CCS, 2004).

Após aplicação do resiste a lâmina é colocada em uma estufa como a da figura


abaixo, onde permanece por 30 minutos a temperatura de 90ºC.

Object 72

(a) (b)
Figura 5.4.2 – (a) Estufa onde o resiste sofre cura. (b) Fotoalinhadora. (CCS, 2004).

Depois da fixação do resiste na estufa, a lâmina é levada até a fotoalinhadora e


alinhada com a máscara. Exposta a radiação de 9mW/cm 2 para que as regiões sem
proteção do resiste sofram uma despolimerização. A fotoalinhadora pode ser vista acima.
O processo de alinhamento com a máscara e exposição do resiste, a luz ultravioleta,
pode ser visualizado abaixo.
69

Figura 5.4.3 – Alinhamento da lâmina com a máscara e exposição a radiação UV. (CCS, 2004).

Seguindo a sensibilização, das áreas expostas, vem a revelação por imersão no


revelador MF-312 por 60s e nova cura na estufa por 30 minutos a temperatura de 90ºC. O
resultado deste processo é que as regiões sensibilizadas pela radiação UV são removidas
e o óxido fica exposto nas regiões onde serão implantados o Boro para formação de
semicondutor tipo p. Como essas regiões tem uma dopagem mais intensa estão
demarcadas com a letra p + no desenho do componente Figura 3.2.1(b). Abaixo podemos
ver a lâmina coberta com o resiste revelado e expondo o óxido das regiões de implantação.

Figura 5.4.4 – Lâmina coberta com resiste revelado. (CCS, 2004).

Existem dois tipos de fotoresiste: o positivo e o negativo. A diferença é que no resiste


negativo a região sensibilizada não é removida pela revelação, e sim, a região protegida.
No resiste positivo a região iluminada é solúvel no revelador e é removida na revelação.
Podemos visualizar esta situação na figura abaixo.
Podemos notar que o resiste utilizado na produção dos nossos CI’s é positivo. Os
resistes negativos tem uma resolução menor que os positivos podendo apresentar
problema de absorver o revelador, provocando inchaço do resiste, tais resistes não são
utilizados em aplicações VLSI. As aplicações VLSI tem alta densidade de dispositivos e por
70

isso normalmente se utilizam resistes positivos. Costuma-se obter resolução da ordem de


0,8-1,5m com resistes positivos, em aplicações VLSI.
As fotoalinhadoras ópticas podem ser de três tipos: de contato (a máscara entra em
contato com o resiste), de proximidade (a máscara fica bem próxima mas não toca o
resiste) e de projeção (a máscara fica distante do resiste e é projetada por lentes sobre a
lâmina). As fotoalinhadoras de contato apresentam boa resolução mas provocam desgaste
das máscaras que precisam passar por limpeza a cada processo, as de proximidade tem
perda de resolução. As fotoalinhadoras mais utilizadas em processos VLSI são as
fotoalinhadoras de projeção.
A resolução máxima de fotoalinhadoras ópticas esta na faixa de 1m ±0,3m. Quando
o processo exige resolução mais alta outros processos são a alternativa para litografia
óptica como: (a)litografia por feixe de elétrons, (b) litografia por raio-x e (c)litografia por
feixe de íons.

5.5 Corrosão do Óxido (Etching)

Após a revelação do processo de litografia temos o seguinte perfil no substrato.

Figura 5.5.1 – Regiões sem resiste devem ter o óxido removido. (CCS, 2004).

As aberturas no resiste mostram as regiões de óxido que devem ser removidas para
implantação do Boro. Note que o óxido nas costas da lâmina não esta protegido e por isso
será removido. A corrosão do óxido é feita por imersão da lâmina em uma solução de HF
(ácido fluorídrico) e NH4F (fluoreto de amônio). No processo de corrosão do óxido o HF vai
sendo consumido. A função do NH4F é liberar HF para manter a taxa de corrosão constante
através de uma concentração mais constante de HF. As reações que ocorrem com o óxido
(SiO2) e com os reagente: HF e NH4F, estão mostradas abaixo:
71

SiO2 + 6 HF  H2 + SiF6 + 2 H2O

NH4F  NH3 + HF

A taxa de corrosão do óxido de silício na solução é de 1000 ângstrons por minuto e a


lâmina não deve permanecer em contato com a solução após visível remoção do óxido. O
perfil resultante é uma lamina sem óxido nas costas e com as aberturas para dopagem,
como mostra a figura abaixo.

Figura 5.5.2 – Lâmina livre de óxido nas costas e com aberturas na frente. (CCS, 2004).

Após corrosão é feito enxágüe com água deionizada e remoção do resiste. Esta
remoção é realizada com banho em: (1) acetona fervente, (2)acetona fria e (3) isopropanol
frio. Resultando na lâmina abaixo.

Figura 5.5.3 – Lâmina sem o resiste protetor. (CCS, 2004).

Existem diversos processos de corrosão em microeletrônica. O processo empregado


foi a corrosão úmida (wet etch). É um processo puramente químico que apresenta alguns
problemas como: falta de anisotropia, controle pobre, excessiva contaminação por
particulas. Como resultado o processo é bem menos popular do que era, mas continua
sendo usado para uma larga faixa de aplicações “não críticas”. Anisotropia se refere a
72

habilidade do processo corroer mais em uma direção específica. Os processos de corrosão


úmida são normalmente isotrópicos, ou seja, corroem igualmente em todas as direções.
A corrosão úmida tem três etapas: movimento das espécies reagentes até a
superfície da lâmina; reação química com o filme exposto – o que produz resíduos; e
movimento dos produtos da reação para fora da superfície da lâmina. É desejável uma
grande uniformidade e taxa de corrosão bem controlada, as soluções são então agitadas
para auxiliar no movimento de remoção dos produtos da reação. As reações podem
resultar em gases que produzem bolhas que são um problema sério quando aderem às
lâminas. Pequenas estruturas são corroídas mais lentamente devido a dificuldade de
remover todo produto das reações.
Outros métodos de corrosão são os chamados métodos de corrosão seca (dry etch).
A corrosão por plasma é um processo de corrosão seca e apresenta diversas vantagens
sobre a corrosão úmida: (1) pode ser iniciada e parada rapidamente; (2) tem alta
anisotropia; (3) tem um número bem menor de partículas; (4) produz menos desperdício de
produtos químicos.

5.6 Implantação de Íons

R.S. Ohl, pesquisador do Bell Labs, descreve em 1952 os resultados do bombardeio


de amostras de silício com diversas espécies de íons – átomos ou moléculas carregados
eletricamente. Dois anos mais tarde, Schokley obteve patente de um processo de
fabricação de transistores bipolares por implantação iônica.
Em uma fonte um gás contendo a espécie a ser implantada é quebrado por um feixe
de elétrons – ao invés de fonte gasosa pode ser utilizado fonte sólida. A pressão nesta
câmara é da ordem de um milhonésimo da pressão atmosférica. O feixe iônico extraído tem
em sua composição diversas espécies de íons, um espectrômetro de massa seleciona as
espécies desejadas provocando sua passagem por uma abertura através de um campo
magnético que dirige somente as especies desejadas.
73

Object 78

Figura 5.6.1 – Diagrama esquemático genérico de um implantador iônico. (Baranauskas, 1990, 4.2 p.).

Esses íons são acelerados por um tubo com campo elétrico constante para
adquirirem a energia necessária a implantação com o perfil de profundidade desejada. Um
sistema de varredura percorre toda área a ser implantada alterando a direção do feixe de
íons através de placas de deflexão eletrostática, que aplicam campos elétricos
perpendiculares as trajetórias do feixe. A câmara do alvo é mantida a pressão menor que o
bilhonésimo de atmosfera. Todo processo é controlado e monitorado por um sistema
automático. Na aplicação da técnica de implantação de íons há um bom controle da
profundidade de implantação pelo conhecimento da energia do íon implantado; alto
controle da quantidade de espécies dopantes implantadas através da medida da corrente
no alvo (substrato, lâmina); baixa quantidade de impurezas pois o processo é desenvolvido
em ultra-alto-vácuo, em ambiente seco, com seleção precisa dos dopantes; e pode-se usar
como proteção do substrato: a camada de óxido, nitreto, silício policristalino, metais ou
fotoresiste.
A colisão dos íons com o substrato provoca danos a estrutura cristalina do material.
Esses danos dependem da energia dos íons, da quantidade de íons e da massa dos íons
implantados. Na frenagem desses íons na matéria, dois processos ocorrem: (1) interação
74

do íon com os núcleos dos átomos do alvo (freamento nuclear), em que há mudança na
trajetória do íon, e (2) interação dos íons com a nuvem de elétrons dos átomos do alvo
(freamento eletrônico), em que não há mudança na trajetória dos íons.
O freamento nuclear é dominante para velocidades, dos íons, inferiores a velocidade
de Bohr (2,2x106m/s).
A implantação de íons, em nosso processo de fabricação, é realizada por meio de um
acelerador de particulas específico para esta finalidade. O GA-4204 EATON. São
implantados íons de Boro, para formação de regiões tipo p + nas áreas de fonte e dreno –
face da lâmina – e são implantados íons de Fósforo para melhorar o contato do substrato
formando regiões tipo n+ – nas costas da lâmina. A energia em cada implantação é, a
mesma, de 50Kev. A dose especifica aplicada de cada íons, Boro (B +) e Fósforo (P+) é, a
mesma, de 5x1015 íons por centímetro quadrado (cm 2). Para evitar que os íons entrem no
planos do cristal e sofram uma canalização, aumentando a profundidade de implantação, o
substrato é inserido em angulo de sete graus e girado sobre o eixo de 20 graus.

(a) (b)
Figura 5.6.3 – Implantação de íons: (a) Bóro na face e (b) Fósforo nas costas. (CCS, 2004).

5.7 Recozimento e Segunda Oxidação Úmida

Não basta que as impurezas, dopantes, sejam introduzidas no material. Os dopantes


precisam estar integrados no cristal, ou seja precisam ocupar uma posição de substituição
de um dos átomos da rede. Como ilustra a figura 3.4.1(b) e (c).
Obtém-se este resultado com um processo de ativação térmica dos dopantes, no qual
forçamos termicamente um rearranjo da rede cristalina de tal forma que os dopantes
passem de posições intersticiais para posições substitucionais aos átomos de silício. Além
disso com a implantação de íons são introduzidos defeitos na rede cristalina (amorfização),
75

para corrigir parte (que seja significante para o funcionamento dos dispositivos) desses
defeitos e ativar os dopantes procede-se ao recozimento da lâmina. Realizar oxidação logo
após implantação de íons, antes da recristalização por recozimento térmico, aumenta a
densidade de defeitos.
Em nosso processo as lâminas são introduzidas em forno a temperatura de 1000ºC
em atmosfera inerte de nitrogênio por 20 minutos, antes de começar o processo de
oxidação com fluxo de oxigênio por cinco minutos. Depois, inicia-se a oxidação úmida por
mais 100 minutos com fluxo de oxigênio e vapor d’água. Para terminar o processo as
lâminas permanecem no forno por mais 10 minutos em atmosfera de nitrogênio e são então
retiradas. O resultado pode ser visto abaixo.

Figura 5.7.1 – Lâmina recozida e oxidada após implantação de íons. (CCS, 2004).

5.8 Fotogravação da Segunda Máscara e Segunda Corrosão

Como mencionado anteriormente, o processo completo de produção dos circuitos


integrados abordado, possui quatro etapas de litografia. Chega o momento da fotogravação
da segunda máscara.
Aplica-se o promotor de aderência (HMDS), rotação de 7000rpm por 30s e aguarda-
se um minuto. Goteja-se 9 gotas do resiste, submetendo a lâmina a nova rotação de
7000rpm por mais 30s. O substrato é então levado a estufa permanecendo 30 minutos a
temperatura de 90ºC. A lâmina é levada até a fotoalinhadora para alinhamento com as
marcas anteriores e fotogravação.
Cada máscara contém pontos de marcação para que o alinhamento de uma máscara
se ajuste com a outra posterior. Levando em conta que as dimensões dos componentes
são muito pequenas cada perfil geométrico dos dispositivos tem que estar perfeitamente
alinhado. O que é obtido com o auxilio de um microscópio que faz parte da alinhadora. As
76

marcações de alinhamento são figuras como cruzes de alinhamento ou verniers xy, como
mostra a figura abaixo.

Figura 5.8.1 – (a) Cruzes de alinhamento, (b) verniers xy.

Como a gravação é realizada com radiação UV (fótons) e o alinhamento é


característica básica do processo, a máquina que o executa recebe o nome de
fotoalinhadora. Após a fotogravação (sensibilização do resiste por radiação UV), procede-
se a revelação do perfil com o produto MF-312, em imersão por 60s e nova secagem em
estufa a 90ºC por 30 minutos.
O processo é idêntico a primeira fotogravação.
Nova corrosão (Etch) deve ser feita para remover o óxido das regiões desprotegidas
pelo resiste. Nesta etapa as regiões são a porta, a fonte e o dreno dos transistores. A
corrosão é realizada com a mesma solução da primeira corrosão, HF e NH 4F. A lâmina fica
imersa por 18 minutos e passa por enxágüe em água deionizada. O resiste que ainda
permanece na lâmina é removido com acetona fervente, acetona fria e isopropanol frio. A
lâmina passa por nova limpeza para que o processo seguinte possa ser realizado. Ao final
do processo a lâmina deve estar como ilustra a figura abaixo.

Figura 5.8.2 – Resultado da segunda corrosão. (CCS, 2004).


77

Após cada corrosão é feita inspeção visual na lâmina para verificar se todo óxido foi
removido das regiões livres de resiste. Medidas das espessuras dos óxidos são realizadas
nos cacos da lâmina que foi clivada (e serve para aferição da qualidade dos processos).

5.9 Oxidação de Porta e Abertura de Fonte e Dreno para Metalização

Nos transistores TECMOS, fabricados por nós, um fino óxido na porta impede
paspagem de corrente por esta. Este óxido é o responsável pela característica básica
destes dispositivos, seu funcionamento através do acionamento pelo campo devido a
tensão aplicada na porta. O óxido que será formado tem espessura de 600 a 700 ângstrons
e é um óxido de melhor qualidade que os óxidos obtidos através de oxidação úmida.
Em forno a temperatura de 1000ºC as lâminas são introduzidas em atmosfera de
nitrogênio e permanecem nela por cinco minutos. Inicia-se a oxidação em oxigênio por
cinco minutos e nos 30 minutos subseqüentes além do fluxo de oxigênio é liberado uma
fração de tricloroetileno (TCE) – a função do TCE é reduzir a formação de cargas. A
finalização do processo se dá com cinco minutos de oxigênio puro e mais dez minutos de
nitrogênio. As lâminas são então retiradas e o resultado pode ser visto na figura abaixo.

Figura 5.9.1 – Resultado da oxidação de porta. (CCS, 2004).


A fotogravação da terceira máscara é realizada com aplicação de fotoresiste,
alinhamento com a mascara anterior, sensibilização, revelação, cura do resiste e por fim
corrosão do fino óxido formado na fonte e no dreno. O resultado pode ser visto na figura
abaixo:

Figura 5.9.2 – Resultado da corrosão do óxido de fonte e dreno. (CCS, 2004).


O substrato esta pronto para a metalização dos contatos de fonte, dreno e porta.
78

5.10 Deposição do Alumínio, Corrosão do Alumínio (Circuito) e Sinterização

A deposição de filmes finos é importante processo para eletrônica. Os contatos de


fonte, dreno e porta são feitos de aluminio na tecnologia empregada por nós. O alumínio
utilizado no processo tem pureza de grau eletrônico, ou seja, é 99,999 por cento puro e é
colocado em um recipiente onde um feixe de elétrons evapora o material que se deposita
na face da lâmina conforme esquema da evaporadora na figura 4.4.1.

Figura 5.10.1 – (a) Esquema da evaporadora. (b) Foto da evaporadora utilizada. (CCS, 2004).

As lâminas estão num suporte acima do alumínio onde este irá se depositar. As
características do processo exigem pressão inicial de 5x10 -7Torr (um Torr equivale a
1,31x10-3 atmosferas, e uma atmosfera equivale a 10 5 Pascal – o Pascal é a unidade do
sistema internacional de unidade para pressão e equivale a um Newton por metro
quadrado(N/m2)). Este vácuo é obtido com acoplamento de duas bombas. A primeira faz o
pré-vácuo até uma pressão de aproximadamente 10 -3Torr, e a segunda, uma bomba de
difusão leva a pressão até o valor desejado. Durante o processo de metalização a pressão
permanece aproximadamente constante com valor de 5x10 -5Torr. O resultado da deposição
pode ser visto abaixo.

Figura 5.10.2 – Camada de alumínio depositada na face da lâmina. (CCS, 2004).


79

A quarta fotogravação desenha o circuito separando os componentes. Aplica-se o


resiste e procede-se as etapas conforme descrito nos processos anteriores de
fotogravação. Desta vez, porém, não é óxido o que deve ser decapado, ou corroído, é o
alumínio e a solução utilizada é outra.
A solução que corrói o alumínio contém ácido orto fosfórico e ácido nítrico, na
proporção de 19:1. A taxa de corrosão é de 1000 à 3000 ângstrons por minuto. Observe o
resultado.

Figura 5.10.3 – Circuito definido com separação dos contatos: fonte, dreno e porta. (CCS, 2004).
Além dos contatos na face da lâmina é depositado alumínio nas costas da lâmina.
Depois da aplicação do alumínio, que fica com espessutra de um micrômetro, a
lâmina deve passar por outro processo de aquecimento denominado sinterização. Esse
processo tem funções de melhorar o contato entre o alumínio e a lâmina e contribuir para
eliminação de cargas. A sinterização é feita sob aquecimento da lâmina a temperatura de
450ºC por 30 minutos em ambiente de nitrogênio e vapor d’água.
Finalizando o processo de produção do circuito integrado são realizadas medidas nos
dispositivos fabricados. Uma lâmina foi entregue ao CTI para que fosse clivada nos chips e
encapsulada. Cada chip contém dois transistores, dois flip-flop, um capacitor MOS e um
diodo. A figura abaixo é de um dos transistores do chip.

Figura 5.10.4 – Fotografia de um transistor de canal p, fabricado através das etapas descritas. (CCS, 2004).
80

6 COMO O COMPUTADOR TRATA A INFORMAÇÃO

Computação e processamento de dados é tratamento digital de informações. Entrada


de informações como letras e números, e transformação da informação de entrada com a
finalidade de extrair uma saída e armazenamento, úteis, de informação. Compreendemos
que computação é: entrada, processamento, saída e armazenamento de informações
(nomes, textos, números, imagens, sons, filmes, relações).

6.1 Representação Binária

Nos computadores toda essa variedade de informações é transformada em zeros e


uns (0 e 1). Cada letra do alfabeto, de A a Z, e cada número, de zero a nove, tem seu
código binário. A essas unidades elementares de informação, que em conjunto
representam letras e números, dá-se o nome de bit, ou seja, um bit é 0 ou 1. Podemos
dizer que 26 letras (de A a Z) somadas a dez números (de 0 a 9) resultam em 36 símbolos.
Para representar uma informação de 30 letras (um nome de pessoa, ou uma senha) e
números misturados seriam necessários quantos bits? Há um padrão de código binário
relacionado com o alfabeto e com os números. Neste código cada letra ou digito precisa de
oito bits (conjunto de oito zeros e uns) para representar uma letra ou número. Um nome de
30 letras ou números necessitaria de 240 bits – o conjunto de oito bits é denominado byte.
Uma tabela com 256 caracteres – em que se encontram letras, números e os
principais caracteres – é utilizada pelos computadores e seu nome é tabela ASCII. Essa
sigla significa American Standard Code for Information Interchange. A Tabela ASCII é
usada pela maior parte da indústria de computadores para a troca de informações. Cada
caracter é representado por um código de 8 bits (um byte). Abaixo mostramos um trecho da
tabela ASCII de 7 bits e a tabela extendida para 8 bits que incluem os caracteres
acentuados.
81

Tabela 2 – Código ASCII


Caracter Decimal Binário Tabela 3 – Código ASCII
NUL 00 0000 0000 Caracter Decimal Binario
Espaço 32 0010 0000 A 65 0100 0001
0 48 0011 0000 B 66 0100 0010
1 49 0011 0001 C 67 0100 0011
2 50 0011 0010 D 68 0100 0100
3 51 0011 0011 E 69 0100 0101
4 52 0011 0100 F 70 0100 0110
5 53 0011 0101 G 71 0100 0111
6 54 0011 0110 H 72 0100 1000
7 55 0011 0111 I 73 0100 1001
8 56 0011 1000 J 74 0100 1010
9 57 0011 1001 Fonte: www.asciitable.com
Fonte: www.asciitable.com

Tabela 4 – Código ASCII (American Standard Code for Information Interchange).

Fonte: www.asciitable.com
82

6.2 Álgebra de Boole

O computador trabalha com os bits fazendo operações lógicas, conhecidas como


álgebra de Boole. Alguns exemplos de operadores são o NOT, AND e OR – do inglês:
NÃO, E e OU. Estes operadores executam comparações entre bits que assumem valores
de verdadeiro (ligado), correspondendo ao um e falso (desligado), correspondendo ao zero.
O operador NOT só recebe uma entrada, enquanto os operadores AND e OR recebem
duas entradas. Observe no diagrama a representação destes operadores.

Figura 6.2.1 – Representação dos operadores lógicos: NOT, AND e OR; com suas entradas e saídas.
(Occidental Schools, 1982, 15 p.)

Em cada entrada há uma informação representada pela notação binária e em cada


saída há uma informação processada pelo operador, comunicada na forma binária. As
operações lógicas destes operadores consideram que zero é falso e um é verdadeiro; com
o operador NOT a saída tem o valor contrário ao da entrada; com os operador AND e OR a
saída depende dos valores de entrada, de acordo com as tabelas verdade abaixo:
NOT AND OR
Input Output Imput Output Input Output
0 1 0 0 0 0 0 0
1 0 0 1 0 0 1 1
1 0 0 1 0 1
1 1 1 1 1 1
83

Podemos notar que NOT é negação da entrada, AND só assume valor verdadeiro se
ambas entradas forem verdadeiras e OR assume valor verdadeiro se qualquer uma das
entradas tiver valor verdadeiro.

6.3 Circuitos Lógicos: NOT, AND e OR

O desenho dos circuitos lógicos NOT, AND e OR estão abaixo, os componentes


utilizados são transistores PMOS (código IRF9540), resistores de 470 ohm, diodos
emissores de luz vermelhos (LED) e uma fonte de 6 Volts. Sempre que utilizamos diodo,
ou fechamos um circuito, é necessário utilizar um resistor para limitar a corrente, caso
contrário a corrente se torna muito alta e queima o diodo ou outro componente do circuito.

Figura 6.3.1 – Circuitos lógicos NOT e AND.

Os circuitos podem ser montados em protoboards, que são placas com circuitos
desenhados onde podemos inserir os componentes, de modo a obter os nossos próprios
circuitos.
84

Figura 6.3.2 – Circuito lógico OR.

Convencionamos que uma tensão de seis volts negativa representa o número 1 (um)
e uma tensão igual a zero volts representa o número 0 (zero). O LED representará a porta
de saída do circuito, se o LED estiver aceso a saída é 1 (verdadeiro) e se estiver apagado
a saída é zero (falsa). Aplicamos arbitrariamente 0 ou 1 em cada entrada, e os circuitos
iram se comportar como portas lógicas.
A fonte que utilizamos é uma fonte de corrente contínua com um pólo positivo e um
pólo negativo. Os LEDs também tem um pólo positivo e um pólo negativo. Para identificar o
pólo positivo basta observar qual das pernas do dispositivo (LED) é maior, a menor deve
ser ligada no pólo negativo da fonte.
Podemos observar abaixo o símbolo do transistor PMOS que estamos utilizando, é
um dispositivo de três terminais (pernas): source (fonte), drain (dreno) e gate (porta). Na
montagem dos circuitos é importante ligar a source no pólo positivo da fonte e o drain no
pólo negativo – esse é o sentido correto para o transistor, assim como o diodo que tem pólo
positivo e negativo. No desenho do transistor abaixo podemos notar qual terminal é porta, a
fonte e o dreno e podemos utilizar a figura como referência para a montagem do circuito.
85

Figura 6.3.3 – Símbolo do transistor IRF9540 e imagem do dispositivo apontando qual terminal é fonte (S),
dreno (D) e porta (G). (Fairchild, 2002, 1 p.).

Durante a montagem do circuito devem ser tomados os seguintes cuidados:


- não ligar diretamento os pólos positivos aos negativos da fonte;
- não ligar o diodo (LED) diretamente aos pólos da fonte sem um resistor para
limitar a corrente, se isso não for feito o LED queima, é aconselhável colocar um
resistor de 470 ohm;
- não ligar o transistor diretamente nos pólos da fonte, se não a corrente fica muito
grande e o transistor esquenta demais, colocar sempre entre os pólos da fonte e o
transistor uma resistência de 470 ohm;
- ligar o source (fonte) do transistor sempre ao pólo positivo e o drain (dreno) ao
pólo negativo, considerando as características do circuito específico que estiver
sendo montado.
86

Abaixo temos algumas imagens dos circuitos NOT, AND e OR e do protoboard.

Figura 6.3.4 – Figura do protoboard com o circuito NOT montado nele.

O protoboard tem uma entrada para o positivo e outra para o negativo da fonte.
87

Object 106

Figura 6.3.5 – Montagem do circuito OR em um protoboard.

Figura 6.3.6 – Montagem ampliada do circuito AND em protoboard.


88

7 COMPUTAÇÃO QUÂNTICA

A proposta de um computador que funcione baseado em dispositivos que obedecem


a Física Quântica surge porque os dispositivos convencionais (chaves lógicas e de
memória) – transistores – atingiram seu limite físico de evolução. Outra vantagem é que
nasce uma nova lógica muito mais eficiente, em algumas situações específicas, que a
álgebra de Boole (aplicada pelo processamento convencional até o final do século XX).
Na computação quântica os bits (menor unidade de informação) são denominados
quantum-bits ou qubit e podem assumir os valores de 0 e 1 além de outro valor que é uma
superposição de estados quânticos. Neste trabalho não entraremos em maiores detalhes
sobre essa situação onde um qubit pode ser 0, 1 ou um valor, que é uma superposição de
estados; bem como não entraremos na questão do que é uma superposição de estados
quânticos. O comportamento quântico de dispositivos que tornam o computador quântico
operacional exige um conhecimento de Física Quântica que vai muito além das
possibilidades deste trabalho.
Essa superposição de estados quânticos já foi demonstrada em diversos laboratórios
em todas partes do mundo, e é uma verdade incontestável. Em termos práticos o ganho
em velocidade de processamento é algo inimaginável, pois todas as seqüências de bits
possíveis em um computador poderiam ser manipuladas simultaneamente.
Peter Shor inventou o algoritmo quânticos para fatorar números grandes, um
problema muito difícil para computadores clássicos e fez uma demonstração em 1993. A
tabela abaixo mostra comparações entre tempos de fatoração necessários para algoritmos
clássicos e para o algoritmo de Shor, em função do tamanho do número a ser fatorado.
Tabela 5 – Comparação de eficiência em fatorar números grandes
Comprimento do número a Tempo de fatoração por Tempo de fatoração com o
ser fatorado (em bits) algoritmo clássico algoritmo de Shor
512 4 dias 34 segundos
1024 100 mil anos 4,5 minutos
2048 100 mil bilhões de anos 36 minutos
4096 100 mil bilhões de 4,8 horas
quatrilhões de anos
Fonte: Oliveira, 2002.
89

Para que tenhamos uma idéia dessas escalas de tempo estima-se que o universo
tenha cerca de 15 bilhões de anos.
Uma aplicação da fatoração de números grandes é a criptografia de mensagens que
viajam todos os dias pela internet, como números de cartões de créditos e outras
informações sigilosas. O algoritmo de Shor mostra que no dia em que um computador
quântico for ligado nenhuma mensagem criptografada classicamente será secreta.
A mecânica quântica governa os fenômenos físicos que ocorrem em uma escala
microscópica da ordem de ângstrons (10-10m). Num certo sentido a computação quântica
dá um caráter indeciso aos bits clássicos. Em vez de 0 ou 1, um qubit poderia ser ambos e
existir simultaneamente como uma combinação de todos os números de dois bits possíveis
quando se tem dois qubits. Adicionando um terceiro qubit, pode-se ter a combinação de
todos os números de três bits. Esse sistema cresce exponencialmente.
O interesse pela computação quântica teve início quando Feynman concluiu, em
1982, que os sistemas clássicos não seriam capazes de modelar eficientemente os
sistemas mecânicos quânticos e que estes só poderiam ser modelados utilizando outro
sistema quântico. Feynman sugeriu que computadores baseados nas leis da mecânica
quântica ao invés das leis da Física clássica poderiam ser usados para modelar sistemas
mecânicos quânticos.
Deutsch foi o primeiro a levantar o questionamento de uma real maior capacidade de
processamento dos computadores quânticos em relação aos clássicos em 1985. Foi ele o
primeiro a publicar um algoritmo quântico, o Problema de Dois Bits de Deutsch em 1989.
Até 1990, computação quântica era apenas uma curiosidade. Isto mudou quando, em
1994, Shor publicou o seu algoritmo para computadores quânticos que resolve o problema
de fatoração de números grandes. Outros algoritmos quânticos foram sendo propostos e
esforços no sentido de produzir um hardware quântico também aumentaram. Técnicas
como a ressonância magnética nuclear (NMR) e armadilha de íons são usadas com
sucesso no desenvolvimento de sistemas com 3 e 5 qubits. Atualmente a maioria das
pesquisas envolvidas em computação quântica concentra-se no desenvolvimento do
hardware, ou seja nas máquinas ou sistemas materiais.
No IBM´s Álmaden Reaserach Center: em agosto de 2000, o físico Isaac Chuang e
sua equipe do Centro de Pesquisa de Almaden, anunciaram para o mundo o
90

desenvolvimento do BigBlue. Trata-se de computador quântico de cinco qubits baseados


na técnica de rotação do spin do núcleo do átomo (spin up = 1 e spin down = 0) e
medição através de ressonância magnética nuclear, usada normalmente em hospitais e em
laboratórios de química.
São cinco átomos de Fluoreno dentro de uma molécula especialmente projetada de
forma que os spins do núcleo do fluoreno possam funcionar como qubits programados por
radiofreqüência. Com essa molécula, a equipe de Chuang resolveu em um único passo um
problema matemático para o qual computadores convencionais requeriam repetidos ciclos
de execução. O problema, chamado “order-finding” consiste em achar o período de uma
determinada função. É um problema matemático típico no qual se baseiam aplicações
importantes como a criptografia.
Chuang diz que as primeiras aplicações da computação quântica seriam como co-
processadores para funções específicas, tais como busca em uma base de dados e para a
solução de difíceis problemas matemáticos.
No projeto “Open Qubit – Quantum Computing” que é desenvolvido por pessoas de
todas as partes do mundo é feito o compartilhamento de idéias e código sobre a
Computação Quântica. O principal objetivo era escrever um simulador de um computador
quântico para demonstrar o algoritmo de fatoração de Shor e sua eficiência. Posteriormente
estender o código para que permita a implementação de qualquer outro algoritmo quântico.
Um dos maiores problemas para a construção de computadores quânticos é o
isolamento do sistema, pois qualquer alteração de campo magnético, choque de moléculas
de ar ou um fóton aleatório pode alterar o estado quântico do qubit mudando sua
informação, conseqüentemente provocando erro.
Uma aplicação para a computação quântica é a produção de co-processadores para
tarefas específicas. O que exatamente serão essas tarefas, de acordo com Melo (2003), é
uma questão não resolvida. O físico do MIT, Edward Farhi salienta que um computador
quântico não necessariamente rápido, é um dispositivo que ataca problemas de uma
maneira diferente. Essa abordagem diferente provou ser muito eficiente em problemas de
fatoração e busca, mas acredita-se que a computação quântica pode ir bem além disso.
Na prática a maneira como os co-processadores quânticos serão usados dependerá
muito de seu custo. No laboratório Almaden da IBM, o núcleo do computador quântico de
91

Chuang é pequeno e barato; moléculas contendo qubits dissolvidas em algumas gotas de


solvente incolor dentro de um tubo de vidro menor que seu dedo mínimo. Mas o
espectrômetro MNR que faz funcionar o computador é um cilindro prateado de 30 metros
de altura rodeado por grandes maços de fios e canos – a maior parte dos quais
necessários para atender ao hélio líquido que resfria os magnetos supercondutores do
espectrômetro. Se futuros co-processadores quânticos seguirem este padrão, eles serão
enormes monstros multimilionários que encherão salas inteiras, e que somente poderão ser
comprados por governos e grande corporações.
Mas um dispositivo monstruoso como este pode ser evitável. O grupo de Gershenfeld
no Laboratório MIT está trabalhando num computador NMR compacto que funciona em
temperatura ambiente.
Não há somente uma proposta para dispositivos quânticos que resultem em um
processador quântico. Algumas das propostas são: Optical photon devices, que usam uma
fonte de fótons e interferometria usando separador de feixes, dispositivo alterador de fase –
os qubits são representados por estados espacialmente diferentes de fótons; Cavity QED
devices, cavidades de isolação contendo átomos onde o acoplamento de dipolo destes
representam os qubits por dois níveis de um único átomo e são manipulados por pulsos
laser; Íon Trap, este método emprega íons resfriados presos usando eletrostática e campos
eletromagnéticos de RF (rádio freqüência), falsos modos de vibração dos íons aprisionados
como um todo e estes modos de vibração quantizados representam os qubits, os quais são
manipulados ópticamente por feixes de laser; NMR (Ressonância Magnética Nuclear),
neste caso estados polarizados de spin nuclear em altos campos magnéticos são usados
para representar qubit, os quais são manipulados por pulsos de rádio freqüência; Quantum
dots, são caixas microscópicas criadas em metal, semicondutor e pequenas moléculas que
confinam elétrons e lacunas através de campos eletrostáticos internos.
92

Figura 7.1 – Proposta de dispositivo quântico de funcionamento baseado em acoplamento forte de par
elétron-lacuna de cobre. (Wallraff, 2004, 163 p.).

Figura 7.2 – Circuito referente ao dispositivo da figura 7.1. (Wallraff, 2004, 164 p.).

O dispositivo acima é um sistema supercondutor de dois níveis, desempenhando o


papel de um átomo artificial acoplado em uma cavidade em um chip, consistindo de um
ressonador de linhas de transmissão semicondutoras.Este sistema pode ser explorado
para processamento quântico de informações. A cavidade sobre o chip é modelada por um
filme fino supercondutor depositado sobre um chip de silício. O dispositivo tem cerca de 30
mm2 e é fabricado através de um processo de litografia óptica. A caixa do par elétron-
lacuna (CPB – Cooper Pair Box) consiste de algumas ilhas supercondutoras de alguns
micrometros de extensão e submicrometros de largura acoplada a uma junção túnel
Josephson.
Abaixo temos a figura de outro dispositivo proposto para construção de um sistema
quântico de processamento de dados
93

Figura 7.3 – Proposta de Kane para dispositivo quântico de funcionamento baseado no spin nuclear de dois
átomos de Fósforo em substrato de silício. (Divincenzo, 1998, 113 p.).

Em um computador quântico, os cálculos são feitos pelo controle no tempo da


evolução de um conjunto de sistemas quânticos acoplados de dois níveis, Kane propõem
um projeto radical combinando técnicas de ressonância magnética nuclear – NMR (Nuclear
Magnetic Ressonance) – com a Física de semicondutores aplicada aos computadores
atuais.
Na espectroscopia NRM, cada qubit é representado por orientação do spin de um
núcleo atômico – direção do dipolo magnético nuclear. Cada dipolo pode reforçar ou opor-
se ao campo magnético aplicado externamente. O primeiro estado tem menos energia que
o segundo, e o estado pode ser mudado pela absorção ou emissão de fótons de onda de
rádio de energia certa. Este acoplamento do campo de radiação também prove um meio de
medir externamente os estados de spin. Spin nuclear diferente na mesma molécula
interage através da força de dipolo magnético, permitindo funções lógicas básicas
envolvendo estes qubits.
Trabalhando com a abordagem NMR e a física do estado sólido, Kane propõem uma
visão de como resolver os problemas fundamentais da computação quântica tornando
dispositivos quânticos em larga escala uma possibilidade real. O coração desta proposta é
a estrutura repetitiva do dispositivo mostrado na figura 7.3. Ele é feito exatamento das
mesmos partes componentes dos computadores de hoje – semicondutores (Silício),
94

dopantes (Fóstofo), uma camada isolante e portas de metal. No entanto sua operação não
é a mesma que a de um transistor.
Os qubits no projeto de Kane são novamente os spins nucleares de um átomo de
Fósforo dentro do cristal de Silício. Fósforo no silício é um doador de elétrons, o que
significa que ele tem um elétron, em que na temperatura ambiente, se move livremente
através da rede do cristal, carregando corrente para a função de transistor. Mas a
temperatura de 100mK (cem mili Kelvin), este elétron esta fracamente ligado ao íon fósforo,
e seu spin representa o estado do qubit de spin nuclear. Então aplicando uma voltagem na
porta A (gate A, fig. 7.2), o elétron ligado pode ser polarizado, há uma interação entre o
elétron e o núcleo que determina a energia dos dois níveis do qubit de spin nuclear, e
portanto qual freqüência de onda de rádio é requerida para flipar (mudar de estado entre 0
e 1) o qubit. Então uma onda de rádio pode ser usada para mudar seletivamente o estado
somente do núcleo o qual o elétron esta polarizado. Outra porta J (gate J) influência a
sobreposição dos elétrons vizinhos, mediante um acoplamento indireto entre os qubits
representados pelos átomos de fósforo, permitindo qualquer operação necessária para
executar um algoritmo quântico.
A proposta de Kane enfrenta alguns obstáculos pois não existe tecnologia de
preparação de materiais que poça colocar átomos individuais de fósforo em seus lugares
adequados no interior de um cristal perfeito; também não existem cristais semicondutores
totalmente livres de defeitos ou camadas de óxidos igualmente perfeitas. Não apenas
tecnologias mais aperfeiçoadas serão necessárias mas uma melhor compreensão dos
processos fundamentais quânticos será necessária.
A proposta de Kane poderia ser implementada em cinqüenta anos de evolução
contínua dos processos tecnológicos e do conhecimento das propriedades de sistemas
quânticos.
95

8 CONCLUSÃO

Ensinamos ciência como forma de compreensão e atuação no mundo. A consciência


de mundo muda constantemente, assim é desejável que o que ensinamos também mude.
Tópicos avançados de ciência e tecnologia no Ensino Médio traz para os alunos um mundo
mais próximo da realidade das coisas e aproveita o interesse que o jovem tem pelas novas
tecnologias, em nosso estudo, particularmente com relação ao eletromagnetismo.
A Física Quântica se tornando prática ao descrever sistemas de processamento de
dados que funcionem baseados em dispositivos quânticos, traz um conteúdo de fronteira
do conhecimento para ser trabalhado com educandos do Ensino Médio, desmistificando a
tecnologia e tornando-a conteúdo de trabalho educacional cotidiano.
Essa proposta de enriquecimento do currículo está em coerência com a proposta
formulada na LDB (Leis de Diretrizes e Bases) 9394/96 , e com os PCN (Parâmetros
Curriculares Nacionais) uma vez que traz a nova consciência de mundo para dentro da sala
de aula renovando conteúdos e desenvolvendo competências e habilidades com relação a
temas contemporâneos.
Mostramos o processo de desenvolvimento das tecnologias envolvidas na fabricação
de computadores e seu funcionamento. Isto levou a abrir a “caixa mágica” que revoluciou
os meios de produção do século passado. O que consideramos uma educação
conscientizadora e transformadora da realidade cultural, social.
96

REFERÊNCIAS

ASCII Table and description. <http://www.asciitable.com/>. Acesso em 16 set. 2004.

BARANAUSKAS, V. Processos de Microeletrônica. Campinas, Sociedade Brasileira


de Vácuo, Sociedade Brasileira de Microeletrônica, 1990.

BRASIL, Secretaria de Educação Média e Tecnológica, Parâmetros curriculares


nacionais: ensino médio. Ministério da Educação, Secretaria de Educação Média e
Tecnológica. Brasília: MEC; SEMTEC, 2002. 360 p.

CCS, Centro de Componentes Semicondutores.Cursos. UNICAMP.


<http://www.ccs.unicamp.br> Acessado em 14 de dezembro de 2004.

CHATTERIEE, A. Introduction to Quantum computation. Theory Group, Saha


Institute Of Nuclear Physics Kolkata 700 064, Índia.

CHEVALLARD, Y. La transposición didáctica. Del Saber Sabio Al Saber Enseñado.


3. ed. Buenos Aires: Aique, 1991. 196 p.

CHRISTMAN, J. R. Fundamentals of solid state physics. Singapure: Jonh wiley &


Sons, 1988. 518 p.

DIVINCENZO, D. P. Real e realistic quantum computers. Nature. Volume 393. 113-


114 p. May/1998.

EISBERG, R.; RESNICK, R. Física Quântica: Átomos, Moléculas, Sólidos, Núcleos e


Partículas. Rio de Janeiro: Campus, 1994. 563-600 p.

FAIRCHILD, Semiconductor. IRF9540 Data Sheet. January/2002. 7 p.


97

HALLIDAY, D.; RESNICK, R.; WALKER, J. Fundamentos de Física 4 – Óptica e


Física Moderna. Rio de Janeiro: LTC, 1993. 355 p.

HAWKING, S. O universo numa casca de nós [Tradução de Ivo Korytowski], 3. ed.


São Paulo: Arx, 2001. 215 p.

LUCCAS, S. Abordagem histórica-filosófica na educação matemática: apresentação


de uma proposta pedagógica. 2004. 222 p. Dissertação de Mestrado em Ensino de
Ciências e Matemática. Universidade Estadual de Londrina. Londrina.

MARTINS, A. Física e Modelos de Componentes Bipolares. Campinas: Unicamp,


1999, 175 p.

MELO, B. L. M.; CHRISTOFOLETTI, T. V. D. Computação Quântica: Estado da Arte.


Universidade Federal de Santa Catarina (UFSC). 2003.
<http://www.inf.ufsc.br/~barreto/trabaluno/tcbrunotulio> Acessado em 23 de
setembro de 2004.

MORIMOTO, N. Deposição de Filmes Finos. In: ESCOLA BRASILEIRA DE


MICROELETRÔNICA, 5. 1999, Campinas, 13 p.

OCCIDENTAL SCHOOLS. Eletrônica digital. Volume I. São Paulo, 1982. 77 p.

OLIVEIRA, I. S. Computação Quântica. 2002.


<http://www.comciencia.br/reportagens/nanotecnologia/nano16.htm>. Acessado em
29 de setembro de 2004.

PANEPUCCI, R. R. Litografia para Microfabricação In: ESCOLA BRASILEIRA DE


MICROELETRÔNICA, 5. 1999, Campinas, ## p.
98

SWART, W. J. Tecnologia de Circuitos Integrados. Campinas. 1999. 252 p.

______. Evolução de Microeletrônica a Micro-Sistemas In: ESCOLA BRASILEIRA


DE MICROELETRÔNICA, 5. 1999, Campinas, 15 p.

WALLRAFF, A.; SCHUSTER, D.L.; BLAIS, A.; FRUNZIO, L.; HUANG, R.-S.; MAJER,
J.; KUMAR, S.; GIRVIN, S. M. & SCHOELKOPT, R. J. Strong coupling of a single
photon to a superconducting qubit using circuit quantum electrodynamics. Nature.
Vol. 431. 162-167 p. September/2004.

WOLF, S.; TAUBER, R. N. Silicon Processing for the VLSI Era. Sunset Beach:
Lattice Press, 1999.
99

BIBLIOGRAFIA

BICUDO, M. A. V. Pesquisa em Educação Matemática: Concepções & Perspectivas.


São Paulo: Editora Unesp, 1999. 313 p.

GIROUX, H. A. Os Professores como Intelectuais: Rumo a uma Pedagogia Crítica


da Aprendizagem. Porto Alegre: 1997. 270 p.

MÜLLER, M. S.; CORNELSEN, J. M. Normas e Padrões para Teses, Dissertações


e Monografias. 5. ed. Londrina: Eduel, 2003. 155 p.

PIETROCOLA, M. Ensino de Física conteúdo, metodologia e epistemologia numa


concepção integradadora, Florianópolis, 2001.

REZENDE, A. M. Concepção Fenomelológica da Educação. São Paulo: Cortês:


Autores Associados, 1990. 96 p.

SACRISTÁN, J. G.; GÓMES, A. I. P. Comprender e transformar Encino [Tradução


Ernani F. da Fonseca Rosa]. 4. ed. Porto Alegre: Artmed, 1998. 396 p.

SAVIANI, D. Escola e Democracia: Teorias da Educação, Curvatura da Vara e Onze


Teses sobre Educação e Política. São Paulo: Cortez, 1989.103 p.

Você também pode gostar