Você está na página 1de 31

Sistemas Digitais (SD)

Circuitos combinatórios:
descodificadores, codificadores,
multiplexers e demultiplexers
Aula Anterior

n  Na aula anterior:
u  Noção de circuito combinatório;
u  Tempo de propagação num circuito;
u  Dispositivos lógicos especiais:
l  Buffer de três estados (tri-state);
l  Portas de passagem (transmission gates).

2
Planeamento
SEMANA TEÓRICA 1 TEÓRICA 2 PROBLEMAS/LABORATÓRIO

Introdução Sistemas de Numeração


17/Fev a 21/Fev
Álgebra de Boole
24/Fev a 28/Fev CARNAVAL P0

Elementos de Tecnologia
02/Mar a 06/Mar Funções Lógicas VHDL

9/Mar a 13/Mar Minimização de Funções Minimização de Funções L0

Def. Circuito Combinatório; Análise Temporal Circuitos Combinatórios


16/Mar a 20/Mar P1

23/Mar a 27/Mar Circuitos Combinatórios Circuitos Combinatórios L1

30/Mar a 03/Abr Circuitos Sequenciais: Latches Circuitos Sequenciais: Flip-Flops P2

06/Abr a 10/Abr FÉRIAS DA PÁSCOA FÉRIAS DA PÁSCOA FÉRIAS DA PÁSCOA

13/Abr a 17/Abr Caracterização Temporal Registos L2



20/Abr a 24/Abr Contadores Circuitos Sequenciais Síncronos P3

27/Abr a 01/Mai Síntese de Circuitos Sequenciais Síntese de Circuitos Sequenciais
L3
Síncronos Síncronos
04/Mai a 08/Mai Memórias
Exercícios P4
Teste 1
Máq. Estado Microprogramadas:
11/Mai a 15/Mai Máq. Estado Microprogramadas: Circuito de
Microprograma L4
Dados e Circuito de Controlo

18/Mai a 22/Mai Circuitos de Controlo, Transferência e Lógica Programável


P5
Processamento de Dados de um Processador
25/Mai a 29/Mai P6 P6 L5
3
Sumário

n  Tema da aula de hoje:


u  Circuitos combinatórios típicos:
l  Descodificadores
l  Codificadores
l  Multiplexers
l  Demultiplexers

q  Bibliografia:
§  M. Mano, C. Kime: Secções 3.7 a 3.9
§  G. Arroz, J. Monteiro, A. Oliveira: Secções 4.2 a 4.5

4
Descodificador

n  Descodificador (em inglês, Decoder)


u  O descodificador binário é um circuito combinatório que permite,
perante uma combinação de entradas, activar uma e só uma saída.

I1 I0 O0 O1 O2 O3 DESCODIFICADOR 2:4
0 0 1 0 0 0 X/Y

0 O0
0 1 0 1 0 0 I0
I1
1
2
1 O1
2 O2
1 0 0 0 1 0 3 O3

1 1 0 0 0 1

u  No símbolo do componente, o índice dos sinais de entrada/saída


permite identificar claramente as saídas e o “peso” de cada um dos
sinais de entrada.

5
Descodificador

n  Descodificador com entrada de activação (Enable):


u  A entrada de ENABLE permite, quando activa (neste caso, a “1”),
que o descodificador funcione normalmente. Quando não activa,
inibe o seu funcionamento fazendo com que todas as saídas fiquem
inactivas (neste caso, todas a “0”).
EN I1 I0 O0 O1 O2 O3
1 0 0 1 0 0 0
1 0 1 0 1 0 0 DESCODIFICADOR 2:4
1 1 0 0 0 1 0 X/Y

1 1 1 0 0 0 1 I0 1
0 O0
1 O1
0 0 0 0 0 0 0 I1 2
2 O2
0 0 1 0 0 0 0 Enable EN 3 O3

0 1 0 0 0 0 0
0 1 1 0 0 0 0

6
Descodificador

n  Descodificador: estrutura interna


u  A figura representa a estrutura interna de um descodificador binário
de 2 entradas.
u  Cada saída representa uma das combinações possíveis das entradas
& O0
I1 I0 O0 O1 O2 O3
0 0 1 0 0 0 1
. I1 & O1
0 1 0 1 0 0
1 0 0 0 1 0
&
1 1 0 0 0 1 I0 1
O2

& O3

O0 = I1 . I 0 O 2 = I1 . I 0
O1 = I1 . I 0 O3 = I1 . I 0
7
Descodificador

n  Descodificador com saídas activas a zero


u  No símbolo do componente, o Δ na saída indica que esta é activa a
“0”, i.e., a saída seleccionada tem um “0” e as outras têm um “1”.
(funciona como se tivesse um inversor na saída)

I1 I0 O0 O1 O2 O3
X/Y
0 0 0 1 1 1
I0 0 O0
1
0 1 1 0 1 1 I1 2
1 O1
2 O2
1 0 1 1 0 1 3 O3

1 1 1 1 1 0

8
Descodificador

n  Descodificadores: exemplos de componentes


DESCODIFICADOR 3:8 DUAL DECODER 2:4 DUAL DECODER 2:4
74LS138 74LS139 74LS155
X/Y X/Y X/Y
0 1
1 1
1 2 0 2
2 1
2 2
4 EN 3
3
0
4 1
1 & EN 2
& 5 2 0 3
EN 1
6 2
EN 3
7
0
1
& EN 2
3
Nos 3 exemplos os sinais de saída são activos a zero.
No 138 o Enable é um AND de 3 entradas, 2 delas
negadas. No 139 o Enable é activo a zero. No 155 o
Enable é um AND de 2 entradas, 1 delas negada.

9
Codificador

n  Codificador (em inglês, encoder):


u  O codificador binário é um circuito combinatório que indica qual das entradas
possíveis é que está activa (neste caso, a “1”).
I3 I2 I1 I0 O1 O0
X/Y
0 0 0 1 0 0
I0 0
0 0 1 0 0 1 I1 1
1 O0

I2 2 2 O1
0 1 0 0 1 0 I3 3

1 0 0 0 1 1

u  Nesta versão simples, o codificador só considera 4 das 16 combinações


possíveis de entrada.
u  O circuito não distingue a situação de todas as entradas estarem a “0”.
u  O circuito não distingue as situações em que estão a “1” mais do que uma
entrada.

10
Codificador

n  Codificador de prioridade:
u  As entradas deste codificador têm uma ordem de prioridades: em caso de mais
de uma entrada activa (a “1”) é considerada a de maior prioridade.

I3 I2 I1 I0 O1 O0 V
0 0 0 0 0 0 0 X/Y

0 0 0 1 0 0 1 I0 0
1 O0
I1 1
0 0 1 X 0 1 1 2
I2 2 O1
0 1 X X 1 0 1 I3 3
V

1 X X X 1 1 1

u  A entrada I3 é a de maior prioridade, seguida da I2, da I1, e a I0 é a de menor


prioridade.
u  A saída V suplementar indica se existe pelo menos uma entrada activa (a “1”).

11
Multiplexer

n  Multiplexer:
u  O multiplexer é um circuito combinatório que permite, através da
especificação dos sinais de selecção, encaminhar uma das N entradas
de dados para a saída.
Exemplo: multiplexer 4:1

MUX Comportamento S1 S0 O
S0 1
D0 0 0 D0
S1 2

O
D1
O 0 1 D1
D0 0 D2

D1 1 D3 1 0 D2
D2 2

D3 3
1 1 D3
SEL(S1,S0)

u  As entradas de selecção determinam a entrada de dados cujo valor é


colocado na saída.
12
Multiplexer

n  Multiplexer: estrutura interna

S0 1

S1 S0 O
0 0 D0 S1 1

0 1 D1
&
1 0 D2 D0

1 1 D3 &
D1
³1
O
&
O = D0 .S1 .S0 + D1 .S1 .S0 + D2 .S1 .S0 + D3 .S1 .S0 D2

&
D3

13
Multiplexer

n  Multiplexer: estrutura interna alternativa


X/Y

S1 S0 O S0 1
0
1
S1 2
0 0 D0 2
3

0 1 D1
D0 O
1 0 D2
1 1 D3
D1

D2

D3

14
Multiplexer

n  Multiplexer: simbologia

MULTIPLEXER 4:1 MULTIPLEXER 4:1


simples com enable
MUX MUX
EN S1 S0 O
EN
1 0 0 D0
0
1
}G 0
3
0
1
}G 0
1 0 1 D1
3

0 0 1 1 0 D2
1 1
1 1 1 D3
2 2

3 3 0 X X 0

15
Multiplexer

n  Multiplexer: saída tri-state

MUX MUX
EN EN EN EN

S0
S0
S1
0
1 }G 0
3
S1
0
1 }G 0
3

O O_tri_state
D0 0 D0 0

D1 1 D1 1

D2 2 D2 2

3 D3 3
D3
Saída tri-state

S1 S0 EN_L O O_tri_state
- - 0 0 Z
0 0 1 D0 D0
0 1 1 D1 D1
1 0 1 D2 D2
1 1 1 D3 D3

16
Multiplexer

n  Multiplexers: exemplos de componentes


MUX 8:1 QUAD MUX 2:1 DUAL MUX 4:1
74LS157 74LS153
74LS151
MUX
EN
G1
0
1} G 0
_
3
EN
0
1
2} G
0
_
7
0
1
MUX EN
0
1
2
MUX

0
1 3
2
3
4
5
6
7

Nos 3 exemplos os sinais de Enable são activos a zero (a activação do


funcionamento normal do componente acontece quando EN=0).
O 74151 tem uma saída suplementar que é a negação da outra.
17
Demultiplexer

n  Demultiplexer:
u  O demultiplexer é um circuito combinatório que permite, através da
especificação dos sinais de selecção, encaminhar a entrada para
uma das N saídas.
Exemplo: Demultiplexer 1:4

DMUX
S1 S0 O0 O1 O2 O3
S0
S1
0
1} G
0
_
3 O0
0 0 IN 0 0 0
0 O0
IN
O1 0 1 0 IN 0 0
1 O1 O2
IN
2 O2 O3
1 0 0 0 IN 0
3 O3
1 1 0 0 0 IN
SEL(S1,S0)

18
Demultiplexer

n  Demultiplexer: estrutura interna


S0 1

DEMULTIPLEXER 1:4
S1 1
S1 S0 O0 O1 O2 O3
0 0 IN 0 0 0
& OUT0
0 1 0 IN 0 0
1 0 0 0 IN 0 & OUT1

1 1 0 0 0 IN
IN
& OUT2

O0 = IN.S1 .S0 O 2 = IN.S1 .S0 & OUT3

O1 = IN.S1 .S0 O3 = IN.S1 .S0

19
Demultiplexer vs Descodificador

n  Demultiplexeres e Descodificadores:
u  Um descodificador com enable é equivalente a um demultiplexer, sendo
as entradas de dados do primeiro as entradas de selecção do segundo e a
entrada de enable do primeiro a entrada de dados do segundo.
Nota: os 2 símbolos abaixo referem a mesma funcionalidade do circuito.

DUAL DMUX 1:4 74LS139 DECODER 2:4


74LS139
74LS139
X/Y
DMUX
1
}
0
1
G 0
_
3 0
2 0
1
1 2
2 EN 3
EN 3

1
} G 0_3
0
1 0 2 0
1
1
2 2
EN EN 3
3

20
Aplicações

n  Descodificadores: aplicações (f. combinatórias)


u  Realização de funções combinatórias de 3 variáveis com
decoders 3:8

X/Y 0
Z
1
1 ³1
Y 2 F1
f1(X,Y,Z) = Σ m(1,5,7) X
4
2

f2(X,Y,Z) = Σ m(0,3,4) 4

5
6 ³1
F2
7

21
Aplicações

n  Descodificadores: aplicações (f. combinatórias)


u  Realização de funções combinatórias de 3 variáveis com
decoders 3:8 com saídas activas a 0.

74LS138
X/Y
Z 0
1
Y 1
f1(X,Y,Z) = Σ m(1,5,7) 2 & F1
2
X
4
3
f2(X,Y,Z) = Σ m(0,3,4)
4
& & F2
EN 5
6
5V 7

22
Aplicações

n  Multiplexers: aplicações (f. combinatórias)


u  Exemplo de realização de funções combinatórias de 2 variáveis com
MUX 4:1

F = A + AB MUX

A B F
B
A }
0
1
G 0
_
3
VCC F
0 0 1 "1" 0
0 1 1 "1" 1

1 0 1 "1" 2

1 1 0 "0" 3

VGND

23
Aplicações

n  Multiplexers: aplicações (f. combinatórias)


u  Exemplo de realização de funções combinatórias de 3 variáveis com
MUX 4:1

F = A B + A C + ABC

A B C F
MUX
0 0 0 1
F =1
0 0 1 1 B
A }
0
1
G
0_
3
0 1 0 0 F
F =C "1" 0
0 1 1 1
C 1
1 0 0 0
F =0 "0" 2
1 0 1 0
C 3
1 1 0 1
F =C
1 1 1 0

24
Aplicações

n  Descodificadores: aplicações (descodificação)


u  Exemplo de realização de um DECODER 3:8 tendo por base 2
DECODERs 2:4

X/Y
A0 0 D0
1
A1 1 D1
2
2 D2
A2 1
EN 3 D3

X/Y
1 0 D4

2 1 D5

2 D6

EN 3 D7

25
Aplicações

n  Multiplexers: aplicações (multiplexagem)


u  Exemplo de realização de um MUX 8:1 tendo por base 2 MUXs 4:1
MUX
S2 EN
S2
S0
S1
0
1 } G
0
_
3
OUT0
D0 0

D1 1

D2 2

D3 3

³1 OUT

MUX
S2
EN
S0
S1
0
1
G}0
_
3

D4 0 OUT1

D5 1

D6 2

D7 3

26
Aplicações

n  Multiplexers: aplicações (multiplexagem)


u  Exemplo de realização de um MUX 16:1 tendo por base 4 MUXs 4:1
MUX MUX
EN EN
X/Y

0
S0
S1
0
1 } G 0
_
3
S0
S1
0
1 } G
0
_
3
S2 1 OUT0 OUT2
1
S3 2 2 0 D8 0
3
D0

D1 1 D9 1

D2 2 D10 2

D3 3 D11 3

³1
OUT

MUX MUX
EN EN
S0
S1
0
1
G}0
_
3
S0
S1
0
1
G}0
_
3

0 D12 0 OUT3
D4 OUT1
1 D13 1
D5
2 D14 2
D6

D7 3 D15 3

27
Aplicações

n  Multiplexers: aplicações (multiplexagem)


u  Exemplo de realização de um MUX 16:1 tendo por base 4 MUXs 4:1
tri-state
X/Y MUX MUX
EN EN
0
S2 S0 S0
S3
1
2
1
S1
0
1 }G 0
3 S1
0
1 }G 0
3
2
3
D0 0 D4 0

D1 1 D5 1

D2 2 D6 2

D3 3 D7 3

MUX MUX
EN EN

S0 S0
S1
0
1 }G 0
3 S1
0
1 }G 0
3

D12 0 D8 0

D13 1 D9 1

D14 2 D10 2

D15 3 D11 3

28
Próxima Aula

29
Próxima Aula

n  Tema da Próxima Aula:


u  Circuitos combinatórios típicos:
l  Somadores / Subtractores
l  Comparadores

30
Agradecimentos

Algumas páginas desta apresentação resultam da compilação de várias


contribuições produzidas por:
l  Nuno Roma
l  Guilherme Arroz
l  Horácio Neto
l  Nuno Horta
l  Pedro Tomás

31

Você também pode gostar