Você está na página 1de 16

UNIVERSIDADE POLITÉCNICA – A POLITÉCNICA

Instituto Superior Politécnico e Universitário de Nacala- ISPUNA

TRABALHO DE LABORATORIO

PROJECTOS DE CIRCUITOS LOGICOS

4° grupo:

Hanza Assuate

Gerson Eduardo de Awaji

Joao Pilale Cassimo

Joao Correia

Nacala-Porto, Maio de 2024


UNIVERSIDADE POLITÉCNICA – A POLITÉCNICA

Instituto Superior Politécnico e Universitário de Nacala- ISPUNA

TRABALHO DE LABORATORIO

PROJECTOS DE CIRCUITOS LOGICOS

4° grupo:

Hanza Assuate

Gerson Eduardo de Awaji

Joao Pilale Cassimo

Trabalho de carácter avaliativo na cadeira de


sistemas digitais, do curso de Licenciatura em
Engenharia Eléctrica, 3º ano. Orientado pelo:

Docente. Eng°: Ruben Francisco José.

Nacala-Porto, Março de 2024

1
ÍNDICE
Introdução............................................................................................................................... 3

Objectivos............................................................................................................................... 4

Geral:.. .................................................................................................................................... 4

Específicos: ............................................................................................................................ 4

Metodologias .......................................................................................................................... 4

Projecto 6……………………………………………..…………………………………..…5
Projecto 7……...…………………….………………………………………………………6

Expressão boleana…………. ................. …………………………………………… ……...7

O circuito ................................................................................................................................ 8

Projecto 8................................................................................................................................ 8

simular em software para a expressão final simplificada ....................................................... 9

Projecto9………………..………….………………………………..……………………..10

Tabela de verdade................................................................................................................. 10

Circuito ................................................................................................................................. 11

Projecto 10…………...……………………………………………………...……………..11

Dados do projeto .................................................................................................................. 12

Circuito digital do projeto .................................................................................................... 13

Conclusão ............................................................................................................................. 14

Referênciais Bibliográficas .................................................................................................. 15

2
Introdução

Este trabalho contem material introdutorio sobre circuitos logicos dos projectos 6 a 10. O
nivel do trabalho é mais aprofundado de simulacao sobre circuitos logicos dos projectos no
Proteus 8.13. O objetivo deste trabalho é de preparar o leitor para o material detalhado e saber
resolver os projectos usando os passos necessarios. Para tanto, o conteudo é generico e
descritivo, abordando as questoês importantes sem contudo entrar em detalhes que são
irrelevantes para a compreensão do problema sob estudo.
Os exercicios são uma parte importante do trabalho, reforçam a compreensão e expandem o
conteudo apresentado.

3
1.1. Objectivos

1.1.1. Geral:
 Abordar sobre a noção sobre circuitos logicos dos projectos;
 Mapa de Karnaugh na configuração;
 Costruir circuitos.

1.1.2. Objectivos Específicos:


 Entender os problemas sobre projectos de circuitos;
 Descrever o princípio de resolucao ;
 Usar aplicacao Proteus profissional;
 usar passos reais através dos cálculos

1.2. Metodologias
Para produção do trabalho realizou-se a pesquisa bibliográfica de livros, aplicativo proteus
profissional, artigos e módulos para construção de abordagens no campo literário
disponibilizados na internet, orientação metodológica com base nas normas de publicação de
trabalhos científicos e por fim a copilação do conteúdo de forma clara e objectiva.

4
Projecto 6

A porta de emergência de uma aeronave deverá operar nas seguintes condições:


 se o piloto indicar a necessidade de sua operação;
 se o teor de O2 estiver abaixo do mínimo permitido;
 se a temperatura estiver acima da máxima permitida e o engenheiro de vôo fizer
operar "situação de emergência".
Encontrar o correspondente circuito de controle, sabendo-se que a mesma deverá
operar se a primeira condição ocorrer, simultaneamente com pelo menos duas
das outras três.

Resoluçao:

A tabela-verdade a seguir representa a situação lógica estabelecida no problema:

DEC A B C D F

0 0 00 0 0
1 0 00 1 0
2 0 01 0 0
3 0 01 1 0
4 0 10 0 0
5 0 10 1 0
6 0 11 0 0
7 0 11 1 0
8 1 00 0 0
9 1 00 1 0
10 1 01 0 0
11 1 01 1 1
12 1 10 0 0
13 1 10 1 1
14 1 11 0 1
15 1 11 1 1

Pela tabela-verdade, pode-se escrever:


F (A,B,C,D) = Σm(11,13,14,15) e
F (A,B,C,D) = ΠM(0,1,2,3,4,5,6,7,8,9,10,12

O MK correspondente resulta em:

5
As adjacências identificadas no MK acima, permitem escrever :

FSOP = A (BC + CD + BD)


FPOS = A (C + D).(B + D).(B + C)
Tomando-se a segunda expressão para a implementação do circuito, tem-se:

Projecto 7

Deseja-se construir um sistema de monitoramento para carros que, por meio de um alarme
sonoro, alerte o motorista toda vez que o motor do seu veiculo estiver trabalhando em regime
“perigoso”, caracterizado por pressão do óleo insuficiente ou pela temperatura da água acima
do valor estabelecido. Para tal controle, existem sensores que indicam a velocidade de
rotação do motor (R) , a pressão do óleo(P) e a temperatura da água(T). Se o número de
rotações do motor estiver acima de 2000 rpm, a temperatura da água deverá estar abaixo de

6
80°C (T8). Porém, com o motor girando abaixo de 2000 rpm, tolera-se uma temperatura de
até 90°C(T9).

Mapa de Karnaugh na configuração:

Pressão do óleo = 1 ⟺ S =1

Rotação = 1 e T8 = 1 ⟺ S=1

Rotação = 0 e T9 = 1 ⟺ S=1

Expressao boleana:

7
O circuito:

Projecto 8

Por questões de segurança Uma das agências bancárias da cidade de Nacala, possui um
cofre que só pode ser aberto no horário do expediente do banco. Durante o expediente, um
interruptor situado na mesa do gerente deve estar desligado para que o cofre possa ser aberto
sem accionar o alarme. Se as condições descritas não forem satisfeitas e mesmo assim o cofre
for aberto deve-se soar uma sirene de alarme.

Resolução:

 Analisar e interpretar o problema: Nesta etapa deve-se ler atentamente o problema


e depois estabelecer as condições lógicas de cada sensor e atuador. As condições
lógicas irão depender de cada sensor e atuador e deve ser extraído do problema.

Para o problema em questão temos: Entrada (Sensores): Porta do cofre (C = 0 - porta


fechada; C = 1 - porta aberta). Relógio eletrônico (R = 0 - fora do expediente; R = 1 - horário
de expediente) Interruptor na mesa do gerente (I = 0 - alarme desativado; I = 1 - alarme
ativado)

Saída (Atuadores): Alarme (A): 0 - silencioso, 1 - gerando sinal sonoro.

 Construir a tabela verdade: Com base no problema, o alarme apenas irá soar, ou
seja, será 1, apenas se as condições descritas não forem satisfeitas e mesmo assim o
cofre for aberto. Então a tabela verdade fica: Entrada (Sensores) :Porta do cofre (C =
0 - porta fechada; C = 1 - porta aberta). Relógio eletrônico (R = 0 - fora do
expediente; R = 1 - horário de expediente) Interruptor na mesa do gerente (I = 0 -
alarme desativado; I = 1 - alarme ativado)

Saída (Atuadores): Alarme (A): 0 - silencioso, 1 - gerando sinal sonoro.

8
̅̅̅̅̅ + 𝐶𝑅𝐼
 Escreva a expressão da soma-de-produtos para a saída. A=𝐶𝑅𝐼 ̅̅̅̅̅ + CRI )
Simplifique a expressão de saída, se possível. Perceba que CI é comum a dois termos
̅̅̅̅̅ O termo entre parentes trata-se de uma porta
da saída. Então: A= CI(R + R) +𝐶𝑅𝐼
̅̅̅̅̅ Colocando C em
OR com uma entrada invertida, logo é igual a 1. A= CI+𝐶𝑅𝐼
evidência A= C(I + ̅̅̅
𝑅𝐼 ) Observando o teorema 15a a expressão torna-se: A= C(I + 𝑅̅ ).

 simular em software para a expressão final simplificada. Sempre levar em


consideração que as portas logicas contidas nos circuitos integrados contem apenas 2
entradas.

9
Projecto 9

Em uma determinada indústria de produtos químicos, um alarme microprocessado deve


emitir um aviso quando um dos tanques apresentar condições críticas. O tanque possui quatro
sensores com saídas ON/OFF ativas em nível lógico alto, que monitoram temperatura,
pressão, nível e peso do fluído. Projete um sistema que informa o microprocessador para
ativar o alarme quando qualquer uma das situações a seguir estiver presente:

1. Nível alto com temperatura alta e pressão alta.

2. Nível baixo com temperatura alta e peso alto.

3. Nível baixo com temperatura baixa e pressão alta.

4. Nível baixo com peso baixo e temperatura alta.

Resposta:
T = temperatura
P = Pressão
N = nível
F= peso do fluido
S = alarme

Tabela de verdade:

10
S= TP + P/N + T/N = /N ( T+P) + TP

Circuito:

Projecto 10

A figura abaixo mostra a interseccao de uma via preferencial com uma outra
secundaria.varios Sensores detectores de veículos estão colocados ao longo das maos C e D
(via principal) e A e B (via secundaria). A saída de tais sensores está em nivel logico BAIXO
quando nenhum veiculo foi detectado, e no nivel logico ALTO quando pelo menos um
veiculo estiver sido detectado. O sinal de trafego no cruzamento deve ser controlado como se
segue:
1– A luz do sinal da leste-oeste (L-O) deve estar verde, sempre que houver veiculos em
ambas as maos de direccao C e D;
2 – A luz (L-O) devera estar verde, sempre que houver veiculos ou C ou em D, estando A ou
B sem nenhum veiculo detectado;
3 – A luz do sinal norte-sul (N-S) devera ser verde sempre que houver veiculos em A e B,
estando C ou D desocupados.
4– A luz N-S deve estar verde, quando ou A ou B estiverem ocupadas, enquanto C e D estao
ambas vazias.

11
5 – A luz L-O deve estar verde quando nenhum veículo tiver sido detectado pelo sensor.
Utilizando as saídas dos sensores A,B,C e D como entradas, projete um circuito logico
simplificado, que controle os sinais de trânsito. N-S e L-O que devem ir para ALTO quando
a luz correspondente tiver que estar verde.

Resoluçao:

Dados do projeto:

Os sensores A, B, C e D quando estão ativos indicam a presença de veículo n a faixa. Desta


maneira o sinal de saída será nível alto.
Para que o semáforo fique com sinal verde é necessário que o nível na saída seja alto.

Tabela de verdade:

12
Mapas de Veitch-Karnaugh:

Saída N-S: Saída L-


O:

N-S = B./C./D + A./C./D N-S = /C./D.(A + B) L-O = /A./B + C.D

CIRCUITO DIGITAL DO PROJETO:

13
Conclusão

Depois da abordagem do trabalho, adquirimos alguns conhecimentos básicos sobre circuitos


logicos dos projectos e ser capaz de resolver problemas que contemplem situações reais
envolvendo estes temas em engenharia. Para atingir este objetivo, precisamos de estudar com
empenho os assuntos apresentados, resolver as questões propostas e pesquisar sempre que
sugerido, a fim de complementar os projectos em questão.

14
Referencias Bibliográficas

 Pesquisas em FPGA da Universidade de British Columbia


 Pesquisas em FPGA da Universidade de Toronto
 Pesquisas em FPGA da Northeastern University
 Programa proteus 8.13 e project circuit

15

Você também pode gostar