Você está na página 1de 13

Captulo 3

Sumrio
3.1 3.2 Memrias .......................................................................................................................... 38 Estrutura geral e organizao de uma memria .......................................................... 38

3.3 Tipos Bsicos - ROM (Read Only Memory)................................................................... 39 3.3.1 Ampliao da capacidade da ROM ............................................................................ 41 3.3.2 ROMs Programveis .................................................................................................. 42 3.4 3.5 3.6 Tipos Bsicos - RAM (Random Access Memory)......................................................... 44 Arquitetura das Memrias RAM ..................................................................................... 47 Expanso da Capacidade da Memria RAM ................................................................. 48

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

3.1

Memrias
As memrias so dispositivos que utilizam mecanismos diversos para armazenar informaes, tais como nmeros, letras, caracteres, instrues, endereos, dados, etc. Sua utilizao ocorre principalmente em computadores em geral e subsistemas computacionais. No utilizam apenas ou especificamente sistemas digitais, embora aquelas utilizadas em sistemas computacionais sejam, na prtica, dispositivos digitais pois as informaes armazenadas nesses casos o so em forma binria. Em funo dos diferentes processos relacionados ao seu funcionamento e uso, as memrias podem apresentar a seguinte classificao: 1. Acesso: A informao acessada atravs de endereos, que so um conjunto de bits associados s posies de memria. O tempo de acesso de uma memria o tempo necessrio desde a entrada de um endereo at o momento em que a informao aparea na sada. No caso de memrias de leitura/escrita, tambm o tempo para a informao ser gravada. possvel ter acesso a uma dada posio de memria de duas maneiras diferentes: Seqencial: chegam posio endereada, passando por todas as intermedirias. Exemplo: fita magntica. Aleatrio ou direto: chegam diretamente posio endereada. Exemplo: memrias semicondutoras, RAM. 2. Volatilidade: As memrias volteis so aquelas que perdem as informaes armazenadas com corte de alimentao. Ex.: memrias semicondutoras baseadas em Flip-Flops., RAM. As memrias no volteis so aquelas que mesmo sem alimentao, continuam com as informaes armazenadas. Exemplos so as memrias magnticas, ticas e as memrias ROM, PROM e EPROM. 3. Escrita/Leitura: Exemplos de memria de escrita/leitura so as RAMs e as de apenas de leitura, as

ROMs. 4. Armazenamento: Estticas: so aquelas em que o dado inserido permanece armazenado indefinidamente. Como exemplo, armazenamento em flip-flop. Dinmicas: necessria a reinsero do dado periodicamente para que ele no se perca. Ex.: armazenamento em capacitores.

3.2 Estrutura geral e organizao de uma memria


Foi visto que uma memria armazena ou acessa informaes, por meio de endereos, em lugares denominados localidades de memrias. Para o acesso a estas localidades, o bloco possui uma srie de terminais de entrada de endereos que so ligados a um conjunto de fios denominado barra de endereos (address bus). Para a entrada e sada dos dados, o bloco possui uma srie de terminais ligados barra de dados (data bus). Alm disto, o bloco possui terminais de controle ligados barra de controle (control bus). A figura 1 esquematiza uma memria eletrnica tpica com os barramentos indicados.

38

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

Figura 1. Memria eletrnica tpica com os barramentos indicados.

A simbologia da figura 1 mostra que a barra de dados bidirecional, isto indica que a memria em questo do tipo leitura/escrita. De forma geral, as memrias em termos da quantidade de dados armazenados, so especificadas pela notao Nxm, onde a primeira letra indica o nmero de localidades de memria e a segunda indica o nmero de bits de informao por localidade. Por exemplo: 256x8; 1Kx16; 128Mx32, ... A designao K (kilo) significa um fator 210 = 1024, e a M (mega) significa um fator de 220 = 1048576. Por exemplo, a memria 128Mx32 possui 128x1048576=134217728 localidades com 32 bits em cada uma, necessitando de 27 terminais para endereamento. Isto ser abordado com mais detalhes a seguir. A palavra de endereo, definida como o conjunto de nveis lgicos necessrios para o endereamento de uma determinada localidade de memria para o acesso ao dado. Para facilitar a escrita da palavra de endereo, bem como a sua utilizao em programao, comum transcrever-se este conjunto de bits para o hexadecimal, principalmente no caso de memrias de alta capacidade (agrupando-se os bits em grupos de 4).

3.3 Tipos Bsicos - ROM (Read Only Memory)


As principais caractersticas das memrias ROM so: permitem apenas a leitura do dado armazenado na fabricao; so constitudas estritamente de circuitos combinacionais; empregam gerador de produtos cannicos para seleo; so no volteis; possuem acesso aleatrio (direto) e so memrias estticas. As figuras a seguir apresentam a configurao que explica o funcionamento bsico desse tipo de memria:

39

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

(R M4x1) O

P 0 P 1 P 2 P 3

I0 I1

I2 I3

E E 0 1

Figura 2.

Esquema interno ROM 4 X 1

(1) Uma das caractersticas fundamentais para efeito de utilizao prtica de uma memria sua capacidade de armazenamento de dados dada em quantidade de bits. Desse modo, uma nomenclatura muito comum para definir essa caracterstica num dispositivo de memria dessa capacidade, dada no formato N x m, que se referem a:

N = n de localidades ou posies da memria; m = n de bits das informaes armazenadas.


(2) Em funo do exposto na primeira observao acima, a definio fsica das linhas de endereo necessrias a um dispositivo de memria depender do valor de N, j que: N = 2n

onde n = n. de linhas de endereo.

Nas figuras a seguir, so dados exemplos da estrutura interna de decodificao de endereos, respectivamente, de uma ROM 16 x 1 (ou seja, com 4 linhas de endereos e informao de um bit), montada a partir de uma matriz de duplo encadeamento, e de uma ROM 4 x 2 (isto , com duas linhas de endereo, e informaes de 2 bits cada):

Figura 3.

Esquema interno ROM 16 X 1

40

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

Figura 4.

Esquema interno ROM 4 X 2

A representao tpica de uma ROM, porm, dada em forma de blocos, como mostra a figura 6 abaixo:

Figura 5. ROM representao

3.3.1 Ampliao da capacidade da ROM


A capacidade de uma memria (ou de um banco de memrias) pode ser ampliada com determinadas montagens a partir de dispositivos de memria de capacidade menor. A figura abaixo mostra como poderia ser aumentada a capacidade de um sistema de uma ROM de (N x 1) para (2N x 1):

41

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

ROM Nx1
E1 E2 E3
...

S0
I0

M UX
.. .

...

En-1

I1

ROM Nx1
...

S1

E0

Figura 6.

Ampliao de capacidade da memria

3.3.2 ROMs Programveis

PROM (Programable Ready-Only Memory) Trata-se de uma ROM que pode ser programada uma nica vez pelo usurio. A conexo entre as linhas de endereos e as de dados feita por um diodo ou um transistor bipolar em srie com um fusvel. fabricada com todos os bits em 0 ou 1 cada bit pode corresponder a um minsculo fusvel que, uma vez rompido, estabelece um estado contrrio ao inicial. A programao feita por equipamentos apropriados, num tempo relativamente curto (existem muitas conexes para serem programadas manualmente). no voltil, de acesso direto e de apenas leitura. A estrutura da clula bsica a seguinte:

Figura 7.

PROM Clula bsica

42

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

- Coloca-se o pulso a ser programado nas linhas de endereo; - Aplica-se pulso de 20 a 30 V (20-50 mA) s sadas correspondentes aos bits que se desejam alterar. A organizao interna tpica de uma PROM bipolar pode ser vista na figura a seguir na figura 8:

Figura 8.

PROM Estrutura

EPROM (Erasable Programable Read-Only Memory) Trata-se de uma ROM que pode ser programada e, se necessrio, apagada e reprogramada pelo usurio. As conexes entre as linhas de endereo e de dados feita com transistor MOS (a conduo ocorre ou no, conforme haja ou no, respectivamente, carga eltrica na porta GATE do transistor). Uma vez armazenado o bit na clula de memria (carga na porta do transistor), ele a permanece at que se deseje apag-lo. O apagamento possvel atravs da exposio da EPROM luz U.V. uma foto-corrente descarrega a porta atravs de uma janela de cristal no chip.

do tipo no voltil e de acesso direto. A figura 9mostra o esquema de um dispositivo MOS utilizado em cada clula bsica de uma memria EPROM:

Figura 9. EPROM Clula


bsica (conduo de carga no dispositivo MOS)

43

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

Observao ao dispositivo MOS anterior: A porta eletricamente isolada atravs do xido de silcio. A carga transportada do canal entre dreno e fonte para a porta atravs de injeo por avalanche controlada. A porta capta os eltrons, promovendo o aparecimento do canal e colocando o dispositivo em conduo. A presena ou no de cargas na porta indica conduo ou no do dispositivo (isto , 0ou 1).

3.4 Tipos Bsicos - RAM (Random Access Memory)


As principais caractersticas das memrias RAM so:

Permitem a leitura e escrita dos dados; Possuem acesso aleatrio ou randmico. Vem da o nome (Random Access Memory). So volteis, pois perdem seus dados armazenados com o desligamento da alimentao. As RAMs no volteis magnticas utilizadas nos primeiros computadores eram dispendiosas e difceis de se trabalhar, comparadas memria semicondutora. Possuem um tempo de acesso muito reduzido. Quanto ao armazenamento, so estticas (SRAM) ou dinmicas (DRAM). As SRAMs estticas possuem como clula bsica de memria o flip-flop. As DRAMs possuem circuitos mais simples, porm necessitam de re-insero de dados peridica (refresh), sua clula bsica armazena cada dado por efeito capacitivo de cada micro-elemento semicondutor interno, por isto tem a vantagem de alta capacidade de armazenamento por circuito integrado.

Figura 10. Memria RAM

Neste esquema vemos um bloco de memria SRAM, com terminais e barramentos de endereamento e de dados e mais um terminal de controle R/W de dupla funo, para possibilitar a leitura ( R / W = 1 ) , ou escrita ( R / W = 0 ) dos dados nas localidades endereadas. Vamos analisar o circuito de uma clula bsica que permite a leitura e escrita de um bit de informao.

44

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

Figura 11. Circuito de uma clula bsica que permite a leitura e escrita de um bit de informao.

Para escrever um dado, seleciona-se a clula, o que feito por um circuito de endereamento que faz SEL = 1 e passa-se o sinal de controle para escrita,

( R / W = 0 ) . Depois se aplica o dado no terminal D, que est ento configurado como entrada. A figura 12 mostra a clula de memria nesta situao.

Figura 12. Circuito para se escrever um dado na clula de memria.

Vemos que a porta NE superior ter nvel 0 na sada, e com isto, as chaves controladas nas entradas do flip-flop estaro fechadas configurando assim um flip-flop tipo D, ao mesmo tempo a porta NE inferior ter um nvel 1 na sada, fazendo com que a chave de sada do flip-flop esteja aberta de modo que o sinal presente em D esteja conectado entrada do flip-flop e seja, ento, armazenado. Caso desejemos fazer a leitura, selecionamos igualmente a clula fazendo SEL=1, e desta vez ( R / W = 1 ) , assim o dado armazenado obtido em D. A figura 13 mostra a clula nesta situao.

45

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

Figura 13. Circuito para se fazer uma leitura na clula de memria.

Vemos que a porta NE superior tem nvel 1 na sada, isto faz com que as chaves de entrada do flip-flop estejam abertas. As portas NE que constituem o flip-flop esto agora desconectadas (estado de alta impedncia) e devido a sua caracterstica construtiva, reconhecem esta situao como um nvel 1, o que faz com que o flip-flop mantenha o estado anterior (Qf = Qa). Enquanto isto, a porta NE inferior tem nvel 0 na sada, o que faz com que a porta de sada esteja fechada, e com isto o bit armazenado no flip-flop estar no terminal D. No caso da clula no ser selecionada (SEL = 0), as duas portas NE apresentaro nvel 1 em suas sadas, mantendo as trs chaves abertas, deixando a clula com a sada desativada (tri-state), impedindo qualquer escrita ou leitura de dados. Por fim cabe observar que nos circuitos integrados as clulas de memria so construdas com diversas tecnologias e circuitos, e este nosso exemplo foi aqui apresentado devido ao seu carter didtico. No que se segue, vamos representar esta clula genrica como um elemento na composio de blocos de memria.

Figura 14. Figura 15.

Representao de uma clula genrica de memria.

O funcionamento do bloco acima resumido na tabela I.


Tabela I: Funcionamento de uma clula de memria genrica.

SEL 0 1 1

R/W X 0 1

D Tri-State Escrita Leitura

46

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

3.5 Arquitetura das Memrias RAM


Com o uso das clulas bsicas anteriormente descritas, podem-se construir arquiteturas de clulas RAM estticas no formato Nxm. Uma das caractersticas fundamentais para efeito de utilizao prtica de uma memria sua capacidade de armazenamento de dados dada em quantidade de bits. Desse modo, uma nomenclatura muito comum para definir essa caracterstica num dispositivo de memria dessa capacidade, dada no formato N x m, que se referem a: N = n de localidades ou posies da memria; m = n de bits das informaes armazenadas.

Em funo do exposto na primeira observao acima, a definio fsica das linhas de endereo necessrias a um dispositivo de memria depender do valor de N, j que: N = 2n

Onde, n = nmero de linhas de endereo.


Vamos, por exemplo pensar numa RAM esttica 4x4 como a mostrada na figura 15.

Figura 16. Esquema de uma RAM 4X4.

J foi visto que um bloco de memrias assim especificado contm 4 posies, cada uma com quatro bits. Pode-se notar que o bloco composto de um decodificador de endereos com dois terminais (A1 e A0) que ativar a entrada SEL da linha de clulas interligadas horizontalmente selecionada. Os terminais de dados (D) esto interligados, mas por posicionamento do bit na palavra de dados, isto porque no endereamento de cada conjunto atravs de SEL, os outros no endereados esto na situao de alta impedncia (tri-state) e, portanto, desconectados do fio comum. Observa-se tambm as entradas R / W interligadas de modo a se controlar simultaneamente a leitura ou escrita para todas as posies.

47

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

Vamos, por exemplo, armazenar o dado 516 (01012), na posio 116 endereada por 01. Se a pastilha no estiver selecionada, CS = 1 , o nvel 0 na sada das portas E aps o inversor, faz com que SEL=0 em todas as clulas fazendo com que elas fiquem em alta impedncia. Se a pastilha foi selecionada, CS = 0 , e o endereamento (A1=0 e A0=1), a segunda linha do seletor de endereos estar em 1 fazendo SEL=1 para a segunda linha de clulas. Com o controle R / W em 0 (escrita) aplicamos os dados nos terminais, agora configurados como entradas (D3=0, D2=1, D1=0 eD0=1) sendo estes ento armazenados pelas clulas.

R / W para 1, para leitura, os dados iro permanecer armazenados, mesmo se a clula for de-selecionada com CS = 1 . Vale ainda lembrar
Com a passagem de mais uma vez que a informao ser perdida caso se desligue a alimentao da pastilha. Este mesmo processo de escrita pode ser estendido para outras localidades, para isto, basta enderear, passar R / W para 0 e aplicar os dados s entradas D.

Para a leitura de uma informao devemos selecionar a pastilha CS = 0 e com

R / W igual a 1, enderear a posio, obtendo desta forma a informao nos terminais D., que agora esto configuradas como sadas. O bloco que acabamos de descrever mostrado na figura 17.

Figura 17. Memria RAM 4x4.

3.6 Expanso da Capacidade da Memria RAM


Vamos estudar agora como podemos expandir a capacidade de uma memria RAM, que algo que ocorre freqentemente na prtica. Ressaltamos que o processo vale tambm para outras memrias. A expanso pode ser obtida pela palavra de dados, pelo aumento de posies, ou ainda por ambos, conforme a situao. Vamos comear ilustrando o aumento pela expanso da palavra de dados. Vamos formar uma RAM 256x8 a partir de dois blocos de 256x4. A expanso mostrada na figura 18 abaixo.

48

Captulo 3: Memrias

Grupo de Sistemas Digitais EESC/USP

Figura 18. Expanso de memria RAM.

Notamos que os terminais de endereamento (A7 a A0), de seleo de pastilha CS e de controle de leitura/escrita R / W so interligados, j estas operaes so comuns aos dois blocos na nova memria. A barra de dados, agora composta pela associao da barra de cada memria (4 bits) resultando em uma palavra de dados maior (8 bits), aumentando assim a capacidade de memria. Nesta nova memria, o endereo da posio inicial 0016 (000000002), e o final FF16 (111111112).

49

Você também pode gostar