Você está na página 1de 29

Eletrônica Digital

Aula 3

Professor Ederson Cichaczewski


Conversa inicial

Olá! Seja bem-vindo à terceira aula da disciplina


“Eletrônica Digital”!

Neste encontro, veremos os circuitos sequenciais, que


são a base para o projeto de circuitos digitais com memória.
Começaremos a fazer referência aos circuitos com memória
flip-flop para então entrarmos em circuitos síncronos e
assíncronos, introduzindo o conceito de sinal de sincronismo.

Iremos compreender as características dos circuitos


integrados contadores e suas temporizações e veremos como
analisar os estados e as ações de um circuito sequencial. Por
fim, serão abordados os passos para um projeto de circuitos
sequenciais. Bons estudos!

Confira no vídeo disponível no material on-line os


comentários do professor Ederson.

Contextualizando

Os circuitos lógicos sequenciais recebem essa


denominação porque sua saída depende tanto dos valores
atuais presentes nas suas entradas como da realimentação
das suas saídas, eles possuem a característica de memória. É
possível fazer o projeto de qualquer circuito digital sequencial
usando como base os circuitos com flip-flop, inclusive este
conceito será utilizado mais para frente quando serão
abordados os dispositivos lógicos programáveis.

Descrever circuitos lógicos digitais sequenciais por meio


de diagrama de estados é uma etapa importante de um
projeto, de forma a garantir o seu funcionamento assim e
avaliar o seu diagrama de tempo, não deixando de levar em
conta os conceitos já vistos de mapas K.
Desta forma, o entendimento dos conceitos de projetos
de sistemas sequenciais é fundamental para o engenheiro
desenvolver aplicações de circuitos digitais.

No vídeo disponível no material on-line, o professor


Ederson faz uma contextualização dos temas que serão
vistos.

Tema 1 - Circuitos sequenciais

O flip-flop é o elemento base de um circuito sequencial,


que nada mais é do que um circuito combinacional com
dispositivo de memória. Essa característica de memória é tida
arranjado o circuito lógico de forma que utilize o conceito de
realimentação. Temos então um circuito em que os níveis
lógicos de saída irão depender tanto de suas entradas
também das saídas dos elementos de memória.

A Figura 1 apresenta um diagrama de blocos que


representa um circuito sequencial:

Figura 1 - Base de um circuito sequencial


A Figura 2 apresenta uma representação simbólica do
flip-flop (FF), na qual podemos identificar algumas
nomenclaturas específicas, entre elas Saída Q (saída normal)
e Saída (saída invertida).

Figura 2 - Símbolo geral de um flip-flop

A saída sempre terá o valor invertido da saída Q. Por

exemplo, se Q = 1 (nível alto), então = 0 (nível baixo) e vice

versa.

O estado de nível alto (1) também é chamado de SET


(Q = 1 e = 0), portanto, nesta condição dizemos que o flip-

flop está “setado”. O estado de nível baixo (0) também é


chamado de RESET (Q = 0 e = 1), portanto, nesta condição

dizemos que o flip-flop está “resetado” ou limpo.

Para “setar” o flip-flop NAND, é necessário aplicar um


pulso em nível baixo na entrada SET, estando as entradas
SET e RESET normalmente em nível alto. Este pulso consiste
em fazer com que o sinal mude de 1 para 0 em um certo
momento t0, fique por alguns instantes e depois retorne de 0
para 1 em outro momento t1, conforme demonstrado na Figura
3.
Figura 3 - Pulso em nível baixo.

Para “setar” o flip-flop, é necessário aplicar um pulso


em nível baixo na entrada RESET, estando as entradas SET e
RESET normalmente em nível alto.

A característica de memória do flip-flop é verificada,


visto que o estado das saídas permanece com o seu valor (ou
nível) dado em função da operação que foi realizada (flip-flop
setado ou resetado). As entradas SET e RESET ficam
normalmente em nível alto e recebem um pulso em nível baixo
apenas quando se deseja que realizem a sua respectiva
função.

Vimos que o flip-flop NAND é setado ou resetado com


um pulso para nível baixo, ou seja, as entradas SET e RESET
são ativadas em nível baixo.

Temos também o flip-flop NOR, em que as entradas


ficam normalmente em nível baixo e são ativadas em nível
alto, ou seja, quando se aplica um pulso para nível alto.

Pulso

Quando falamos em um pulso em nível alto, também


podemos dizer que é um pulso positivo. Quando falamos em
um pulso em nível baixo, também podemos dizer que é um
pulso negativo. A transição do nível baixo para alto chamamos
de borda de subida e a transição do nível alto para baixo
chamamos de borda de descida.
A Figura 4 apresenta as características do pulso
positivo e pulso negativo. Clique na imagem e confira:

Figura 4 - (a) um pulso positivo e (b) um pulso negativo

Devemos considerar na prática:

 O tempo do pulso, também chamado de largura de


pulso (tw), compreendido entre o momento que as
transições estão em 50%;

 O tempo da borda de descida (tf), compreendido entre


o momento que a transição está em 90% até 10%;

 O tempo da borda de subida (tr), compreendido entre o


momento que a transição está em 10% até 90%, visto
que a transição não é na verdade abrupta ou
instantânea, como parece na representação do pulso
para fins de análise teórica.

Para mais informações sobre os circuitos com memória


flip-flop, confira no material on-line a videoaula do professor
Emerson.
Tema 2- Circuitos síncronos e assíncronos: latches e
flip-flops

Os circuitos digitais podem trabalhar tanto no modo


assíncrono quanto no modo síncrono:

 Sistemas assíncronos: as saídas dos circuitos


digitais podem mudar de estado a qualquer momento
em que houver mudança em uma ou mais entradas.

 Sistemas síncronos: os momentos exatos em que


uma saída pode mudar de estado são determinados
por um sinal de clock, que consiste em uma sequência
de pulsos, normalmente um sinal de onda quadrada,
que é uma repetição constante de pulsos em uma
determinada frequência (dada pelo período T do
pulso), conforme mostrado na Figura 5.

Assim como no pulso, no sinal de clock também


chamamos as transições de bordas (de subida e descida).
Quando o clock muda de 0 para 1, temos uma borda de
subida ou transição positiva. Quando o clock muda de 1 para
0, temos uma borda de descida ou transição negativa.

A maioria dos circuitos em sistemas digitais tem seus


eventos de mudança de estado sincronizados com as
transições de um sinal de clock.
Figura 5 - Sinais de clock

Portanto, os flip-flops possuem uma outra entrada para


o sinal de clock, normalmente chamada CLK.

A velocidade com que operam os circuitos digitais é


dada pela frequência f do sinal de clock. A frequência é dada
pelo tempo de 1 período T de pulso, ou seja, pelo tempo de 1
ciclo de clock. O número de ciclos por segundo (s)
corresponde à frequência do sinal de clock, e sua unidade é o
Hertz (Hz). Sendo que 1 ciclo/segundo é igual a 1 Hz.

Flip-flop RS com clock

Também conhecido como flip-flop SR, dado que as


letras R e S se referem às entradas SET e RESET,
independentemente da ordem, funciona sincronizado por um
sinal de clock. O sinal de clock é representado pela sequência
de letras CLK e também por um triângulo deitado, que indica
ativação pela sua transição (ou pela borda). O flip-flop RS é
ativado na borda de subida do clock.
A Figura 6 apresenta a simbologia do flip-flop RS:

Figura 6 - Simbologia do flip-flop RS com clock e


ativação na borda de subida

Para indicar no sinal de clock se a ativação é dada por


uma borda de subida, usa-se uma flecha para cima (↑), e para
indicar no sinal de clock se a ativação é dada por uma borda
de descida, usa-se uma flecha para baixo (↓). A Figura 7
apresenta a tabela verdade do flip-flop RS:

Figura 7 - Tabela verdade do flip-flop RS

Quando vamos nos referir ao estado da saída antes da


ativação dada pela borda de subida do clock, usamos a
denominação da saída como Q0. No flip-flop RS, a borda de
descida (↓) não produz mudança na saída Q. Podemos
perceber na tabela verdade apresentada na Figura 7 que há
uma condição em que a saída é ambígua, portanto deve-se
garantir que nunca aconteça que R e S sejam iguais a 1 ao
mesmo tempo.
Flip-flop JK com clock

Este flip-flop também é ativado por borda de subida do


sinal de clock, e as entradas J e K possuem as mesmas
funções das entradas R e S do flip-flop RS, respectivamente.
A diferença é que o flip-flop JK não possui a condição de saída
ambígua quando as entradas J e K são iguais a 1. Quando
esta condição ocorre, o flip-flop JK inverte o estado da saída
Q, comportamento este chamado de modo de comutação. A
Figura 8 apresenta a simbologia do flip-flop JK.

Figura 8 - Simbologia do flip-flop JK com clock e


ativação na borda de subida

A Figura 9 apresenta a tabela verdade do flip-flop JK.

Figura 9 - Tabela verdade do flip-flop JK

De modo geral, o flip-flop JK faz tudo o que faz o flip-


flop RS, além de trabalhar no modo de comutação.
Flip-flop D com clock

Este flip-flop tem apenas uma entrada de controle,


chamada D, letra que representa a palavra “dado”. A função
do flip-flop D é simplesmente transferir para a saída Q o nível
que está na sua entrada D quando houver uma borda de
subida no sinal de clock CLK. Isto quer dizer que o nível lógico
presente na entrada D será armazenado no flip-flop quando
ocorrer uma borda de subida do clock. A Figura 10 apresenta
a simbologia do flip-flop D e sua tabela verdade.

Figura 10 - Simbologia do flip-flop D com clock e sua


tabela verdade

Latch D

Este é um tipo específico que flip-flop que não opera


com sinal de clock, ou seja, não é ativado por borda, mas sim
por nível. O latch D é semelhante ao flip-flop D, mas tem a
entrada CLK substituída pela entrada EN, que significação
habilitação (enable).

Portanto, sempre que a entrada EN estiver em nível


alto, o nível lógico presente na entrada D será transferido para
a saída Q. A mudança de estado da saída do latch D não
depende de uma transição (ou borda), mas sim de um nível,
no caso, a saída Q é atualizada com o mesmo valor da
entrada D quando EN estiver em nível alto.
A Figura 11 apresenta a simbologia do latch D e sua
tabela verdade.

Figura 11 - Simbologia do latch D e sua tabela verdade

A letra X na tabela verdade significa “irrelevante”, isso


quer dizer que independentemente do estado da entrada D,
caso EN estiver com valor 0, o estado anterior Q0 da saída
não muda.

Para mais informações sobre circuitos síncronos e


assíncronos, confira no material on-line a videoaula do
professor Emerson.

Tema 3 – Temporizações e contadores

Vamos conhecer alguns circuitos integrados contadores


e suas características de temporização. Como exemplos de
flip-flops comerciais temos na Figura 12 o flip-flop D 74LS74 e
na Figura 13 o flip-flop JK 74LS112.

Figura 12 - Flip-flop D 74LS74


Figura 13 - Flip-flop JK 74LS112

Como exemplo de latch comercial, temos na Figura 14


o latch D 74LS373

Figura 14 - Latch D 74LS373


Parâmetros de temporização

Vamos entender alguns parâmetros importantes que


especificam o funcionamento dos circuitos flip-flops:

 Tempo de setup (tS): tempo que precede a transição


de subida do clock, em que a entrada já deve estar
com o valor desejado;

 Tempo de hold (tH): tempo após a transição de


subida do clock, em que a entrada ainda deve ser
mantida no valor desejado.

Figura 15 – Tempo de setup e de hold nos flip-flops

 Atraso de propagação: tempo entre a ativação pela


borda de subida do clock e a mudança de estado da
saída.

Figura 16 - Atrasos de propagação nos flip-flops


 Frequência máxima de clock: valor de frequência até
o qual o flip-flop funciona adequadamente. Ex.:
20MHz. O tempo de transição (subida e descida) deve
ser rápido, abaixo de 50 ns para TTL.

 Tempo de alto e baixo do clock: tempo mínimo em


que o sinal CLK deve permanecer em 0 antes de ir
para 1 tW(L) e em 1 antes de ir para 0 tW(H).

Figura 17 - Tempos de duração do clock

 Largura de pulsos assíncronos: mínimo de duração


que as entradas ( ) e ( ) devem ficar

ativas para executar sua função.

Figura 18 - Largura do pulso

Contador assíncrono

É possível fazer um arranjo de flip-flops JK que se obter


um contador binário, usando o modo comutação. O clock entra
apenas no 1º, conforme a Figura 19, que apresenta o circuito
e o diagrama de tempo da contagem.
Figura 19 - Flip-flops JK formando um contador de 3 bits

Tabela de estados da contagem

A contagem se dá de 000 a 111 em binário, ou seja, de


0 a 7 em decimal, então é reiniciada, conforme mostrado na
Figura 20.

Figura 20 - Tabela com a sequência de contagem


binária
Outros contadores

 Síncrono: também chamado paralelo, tem o clock


aplicado a todos os flip-flops simultaneamente. Usa-se
as entradas J e K interconectadas e dependentes de
uma combinação lógica das saídas. A Figura 21
apresenta um exemplo de um contador síncrono.

Figura 21 – Exemplo de contador síncrono

 Módulo < 2N: é também paralelo e usa uma


combinação lógica para que a contagem reinicie em
um valor determinado;

 CI comercial: contador de 0 a 9: 74LS90.

No vídeo disponível no material on-line, o professor


Emerson fala mais sobre as temporizações e os contadores.
Tema 4 – Estados e ações de um circuito sequencial
O diagrama de transição de estados é uma maneira de
mostrar como os estados dos flip-flops mudam a cada pulso
de clock. Um exemplo é apresentado na Figura 22. Podemos
ver qual é o estado anterior e o próximo. Usa-se nomear as
saídas com letras. Temos:

 Círculo: estado em binário;

 Seta: pulso de clock;

 Tracejado: condição temporária.

Figura 22 - Diagrama de transição de estados

Análise de um contador síncrono crescente/decrescente


paralelo

A Figura 23 apresenta um contador paralelo com as


seguintes características:

 Flip-flop JK;

 Entrada de controle up/( );

 Transições em borda de descida;


 3 bits: 0 a 7;

Figura 23 – Contador paralelo

A Figura 24 apresenta o diagrama de tempo do


contador paralelo. Verificamos nos primeiros 5 pulsos de clock
uma contagem crescente, depois decrescente.

Figura 24 - Diagrama de tempo do contador paralelo


A Figura 25 apresenta o diagrama de transição de
estados do contador paralelo. As setas indicam a borda de
descida condicionada à entrada de controle Up / ( ).

Figura 25 - Diagrama de transição de estados do


contador paralelo

Para mais informações sobre a análise de sistemas


sequenciais, confira no material on-line a videoaula do
professor Emerson.

Tema 5 – Projeto de circuitos sequenciais

Circuitos de contadores síncronos podem ser


projetados de modo personalizado para gerar qualquer
sequência de contagem. Consiste em fazer uma tabela de
“Estado Atual” / “Próximo Estado”.

Primeiramente, deve-se escrever a expressão lógica


para o circuito da entrada de controle de cada flip-flop JK para
então estabelecer um estado atual e aplicar às expressões de
controle. As saídas permitirão prever o próximo estado.

Exemplo: A Figura 26 apresenta um contador


autocorretor. É um contador em que os estados normalmente
não usados retornam à sequência de contagem normal.
Figura 26 - Contador autocorretor

Expressões de controle:

JC = A . B

KC = C

JB = KB = A

JA = KA =

Pela expressão da entrada J do flip-flop C, verificamos


a existência de uma porta AND entre a saída do flip-flop B e a
saída do flip-flop A.

Tabela de transição de estados


Diagrama de estados e de tempo

Entendendo a Tabela de Transição JK

 Coluna mais à esquerda: transição de saída;

 2ª e 3ª colunas à direita: estado atual e próximo


estado;

 2 últimas colunas: níveis lógicos em J e K;

 x representa condição de irrelevância.


Passo a passo de projeto

 1º passo: determinar o número de bits e a contagem


desejada em uma tabela. Ex.: 3 bits, estados
indesejáveis 101, 110 e 111. Usaremos 3 flip-flops: C,
B e A.

 2º passo: desenhar o diagrama de transição de


estados. Incluir os estados indesejados. Em nosso
exemplo, vamos fazer apontá-los para 000.
 3º passo: montar a tabela de estados atuais e
próximos, com base no diagrama de estados.

 4º passo: montar a tabela de excitação do circuito.


Acrescentar uma coluna à tabela anterior para cada
entrada J e K. Neste exemplo, temos 3 flip-flops (C, B
e A), vamos determinar os valores das entradas J e K
de cada FF.
 5º Passo: projetar os circuitos lógicos necessários em
cada entrada J e K. Considerar as colunas de estados
atuais como entradas e as colunas J e K com o estado
desejado de cada FF como saídas. Obter a expressão
lógica utilizando mapa de Karnaugh. Em nosso
exemplo, teremos 6 mapas K. Temos para a coluna
JA:

Demais mapas K:

Lembrando que as regras de simplificação


considerando as irrelevâncias podem ser aplicadas.
 6º passo: desenhar o circuito completo
implementando as expressões finais obtidas. Circuito
final:

No vídeo disponível no material on-line, o professor


Emerson fala mais sobre projetos de sistemas sequenciais.

Trocando ideias

Nesta aula, vimos circuitos com memória flip-flop;


circuitos síncronos e assíncronos (o que é de conhecimento
essencial) e circuitos contadores com clock e suas
temporizações. Entendemos o conceito de clock, que é a base
de tempo para o funcionamento dos circuitos digitais e
funciona como o relógio, que a cada intervalo de tempo
atualiza a informação da hora, por exemplo, em segundos.
Vimos os estados e as ações de um circuito sequencial e os
passos de projeto de circuitos sequenciais.

O conhecimento adquirido nesta aula é fundamental


para a continuidade nesta disciplina, assim como será utilizado
ao longo de todo o curso.
Não fique com dúvidas sobre o assunto desta aula,
estude o tema consultando o livro indicado na referência
bibliográfica desta aula e também outras fontes de pesquisa!

Na Prática

Uma das aplicações de um contador vemos no trânsito,


em que há um contador para o tempo de abertura do sinal de
passagem de pedestres.

Vamos projetar um contador binário (com 4 bits) de 0 a


9 (em decimal) com o CI 74LS90. Primeiramente, vamos criar
o diagrama de transição de estados:

Diagrama de tempo:
Tabela verdade:

Contagem

Circuito final:
Síntese

Nesta aula trabalhamos circuitos com memória (flip-


flops), circuitos síncronos e assíncronos, circuitos contadores,
diagrama de estados e projeto de circuitos sequenciais.

O bom entendimento desta aula é fundamental, visto


que trata dos elementos básicos de memória e sincronismo de
circuitos digitais. Confira no vídeo a seguir a síntese feita pelo
professor Emerson:

Referência

TOCCI, R.; WIDMER, N. S. Sistemas Digitais – Princípios e


Aplicações. 11. ed. São Paulo: Pearson, 2011.

74LS74 Datasheet. Disponível em:


<http://pdf.datasheetcatalog.com/datasheets/70/82038_DS.pdf
>. Acesso em: 19 ago. 2016.

74LS74A Datasheet. Disponível em:


<http://www.ti.com/lit/ds/symlink/sn74s74.pdf>. Acesso em: 19
ago. 2016.

74LS112 Datasheet. Disponível em:


<http://pdf.datasheetcatalog.com/datasheets/90/375345_DS.p
df>. Acesso em: 19 ago. 2016.

74LS112A Datasheet. Disponível em:


<http://pdf.datasheetcatalog.com/datasheets/320/487972_DS.
pdf>. Acesso em: 19 ago. 2016.

74LS373 Datasheet. Disponível em:


<http://pdf.datasheetcatalog.com/datasheets/90/375618_DS.p
df>. Acesso em: 19 ago. 2016.

BCD Counter Circuit. Disponível em: <http://www.electronics-


tutorials.ws/counter/bcd-counter-circuit.html>. Acesso em: 19
ago. 2016.

Você também pode gostar