Você está na página 1de 86

Centro Universitrio Positivo Ncleo de Cincias Exatas e Tecnolgicas - NCET Engenharia da Computao Luiz Gustavo DallIgna Variani

Holter de ECG utilizando tecnologia FPAA

Curitiba 2006

Centro Universitrio Positivo Ncleo de Cincias Exatas e Tecnolgicas - NCET Engenharia da Computao Luiz Gustavo DallIgna Variani

Holter de ECG utilizando tecnologia FPAA


Monografia apresentada disciplina de Projeto de Final de Curso, como requisito parcial concluso do Curso de Engenharia da Computao. Orientador: Prof. Jos Carlos da Cunha.

Curitiba 2006

TERMO DE APROVAO

Luiz Gustavo DallIgna Variani

Holter de ECG utilizando tecnologia FPAA

Monografia aprovada como requisito parcial concluso do curso de Engenharia da Computao do Centro Universitrio Positivo, pela seguinte banca examinadora:

Prof. Jos Carlos da Cunha

Prof. Adriana Cursino Tom

Prof. Nestor Cortez Saavedra Filho

Curitiba, 6 de novembro de 2006. ii

SUMRIO
1. INTRODUO ............................................................................................................1 2. FUNDAMENTAO TERICA ...................................................................................3 2.1. ECG ......................................................................................................................3 2.1.1. Fisiologia ........................................................................................................3 2.1.2. Caractersticas do sinal ..................................................................................5 2.1.3. Eletrodos ........................................................................................................5 2.1.4 Aquisio ECG ................................................................................................5 2.2. Amplificadores Operacionais ................................................................................6 2.2.1. Definio ........................................................................................................7 2.2.2. Ganho.............................................................................................................7 2.2.3. Saturao .......................................................................................................8 2.2.4. Modos operao.............................................................................................9 2.2.5. Freqncia de corte e taxa de atenuao ....................................................14 2.2.6. Tenso de offset...........................................................................................14 2.2.7. Slew-rate ......................................................................................................15 2.2.8. Rise-time ......................................................................................................16 2.2.9. Overshoot .....................................................................................................17 2.2.10. Drift.............................................................................................................17 2.2.11. Associao em cascata de estgios no-iteragentes.................................17 2.2.12. CMRR.........................................................................................................17 2.2.13 Amplificador de Instrumentao ..................................................................17 2.3. Filtros ..................................................................................................................18 2.3.1. Definio ......................................................................................................18 2.3.2. Classificao ................................................................................................19 2.3.3. Ressonncia e Fator Qo e Seletividade .......................................................23 2.3.4. Defasagens em Filtros..................................................................................24 2.3.5. Ordem em Filtros..........................................................................................24 2.3.6. Filtros de Butterworth ...................................................................................25 2.3.7. Filtros de Chebyshev....................................................................................26 2.4. Protocolo SPI ......................................................................................................27 2.4. Protocolo I2C ......................................................................................................28 2.5. MultiMediaCard ...................................................................................................29 2.6. RTC (Real Time Clock) .......................................................................................30

3. TECNOLOGIA FPAA E dpASP .................................................................................32 3.1. Arquitetura ..........................................................................................................32 3.1.1. Clula de Entrada/Sada configurvel ..........................................................34 3.1.2. Entrada/Sada multiplexvel .........................................................................35 3.1.3. Clula de Sada ............................................................................................35 3.1.4. Bloco Analgico Configurvel.......................................................................36 3.1.5. Tabela de busca (LUT Look Up Table)......................................................37 3.1.6. Registrador de Aproximao Sucessiva (SAR) ............................................38 3.1.7. Tenso de referncia....................................................................................39 3.2. Interface de configurao....................................................................................40 3.3. Interface de desenvolvimento .............................................................................41 4. ESPECIFICAO .....................................................................................................44 4.1. Especificao de Hardware ................................................................................45 4.1.1. Funes........................................................................................................45 4.1.2. Componentes ...............................................................................................46 4.1.3 Ambiente de desenvolvimento ......................................................................46 4.1.4. Requisitos.....................................................................................................46 4.1.5. Restries ....................................................................................................47 4.1.6. Fluxograma ..................................................................................................47 4.2. Especificao de Software..................................................................................47 4.2.1. Funes........................................................................................................47 4.2.2. Ambiente de desenvolvimento .....................................................................48 4.2.3. Requisitos.....................................................................................................48 4.2.4. Restries ....................................................................................................48 4.2.5. Fluxograma ..................................................................................................48 4.2.6. Prottipo de tela ...........................................................................................49 5. PROJETO..................................................................................................................50 5.1. Projeto de Hardware ...........................................................................................50 5.1.1. Sinais de Interface........................................................................................54 5.1.2. Caractersticas do sinal ................................................................................55 5.2. Projeto de Software.............................................................................................55 5.2.1. Diagrama de Casos de Uso .........................................................................55 5.2.2. Diagrama de Classes ...................................................................................56 5.2.3. Diagramas de Sequncia .............................................................................57 6. RESULTADOS ..........................................................................................................59

7. CONCLUSES .........................................................................................................66 8. REFERNCIAS BIBLIOGRFICAS ..........................................................................67 9. ANEXOS.......................................................................Erro! Indicador no definido.

LISTA DE FIGURAS
Figura 1 Sistema condutor do corao .........................................................................3 Figura 2 Tringulo de Einthoven...................................................................................4 Figura 3 Ciclos de funcionamento do corao..............................................................4 Figura 4 Aquisio de biopotencial de ECG (Texas Instruments) ................................6 Figura 5 Amplificador Operacional ...............................................................................6 Figura 6 - Saturao em AOPs.......................................................................................8 Figura 7 Amplificador Operacional em Malha Aberta ...................................................9 Figura 8 AOP com realimentao negativa ..................................................................9 Figura 9 Amplificador no-inversor.............................................................................10 Figura 10 Seguidor de tenso (buffer)........................................................................11 Figura 11 Amplificador somador inversor ...................................................................11 Figura 12 Amplificador somador no inversor ............................................................12 Figura 13 Amplificador subtrator.................................................................................13 Figura 14 AOP com realimentao positiva................................................................13 Figura 15 Resposta em freqncia em malha aberta e malha fechada .....................14 Figura 16 Tenso de offset.........................................................................................15 Figura 17 AOP com resistor de equalizao ..............................................................15 Figura 18 Slew-rate ....................................................................................................16 Figura 19 Rise-time ....................................................................................................16 Figura 20 Amplificador de Instrumentao .................................................................18 Figura 21 Resposta de filtros ideais ...........................................................................20 Figura 22 Aplicao de filtros ideais ...........................................................................21 Figura 23 Resposta de filtros reais .............................................................................21 Figura 24 Fator Seletividade.......................................................................................23 Figura 25 Ordem em Filtros........................................................................................24 Figura 26 Ordem de um filtro Butterworth...................................................................25 Figura 27 Comparao filtro Butterworth X Chebyshev..............................................26 Figura 28 Dispositivos SPI em cascata ......................................................................27 Figura 29 Enviando dados..........................................................................................28 Figura 30 Recebendo dados ......................................................................................28 Figura 31 Protocolo I2C..............................................................................................29 Figura 32 Diagrama em blocos da memria MMC (SanDisk).....................................29 Figura 33 Arquitetura RTC (Real-Time Clock)............................................................30 vi

Figura 34 Configurao tpica de operao do RTC ..................................................31 Figura 35 Arquitetura AN121E04 e AN221E04 ..........................................................33 Figura 36 Clula de E/S configurvel .........................................................................34 Figura 37 Clula de E/S multiplexvel ........................................................................35 Figura 38 Clula de sada...........................................................................................36 Figura 39 Bloco Analgico Configurvel.....................................................................37 Figura 40 Exemplo utilizao A/D para linearizao ..................................................39 Figura 41 Gerador de referncia ................................................................................39 Figura 42 Ambiente ABK ............................................................................................40 Figura 43 Carga da configurao no FPAA ................................................................41 Figura 44 Interface de desenvolvimento: AnadigmDesigner2 ....................................42 Figura 45 Interface de desenvolvimento: AnadigmFilter.............................................43 Figura 46 Interface de desenvolvimento: AnadigmPID...............................................43 Figura 47 Diagrama em blocos do sistema ................................................................44 Figura 48 Estrutura de armazenamento MMC............................................................45 Figura 49 Fluxograma do Firmware.............................................................................47 Figura 50 Fluxograma do Software ............................................................................48 Figura 51 Prottipos de tela........................................................................................49 Figura 52 Diagrama em blocos simplificado ...............................................................50 Figura 53 Simulao do Filtro Passa Alta...................................................................51 Figura 54 Diagrama em blocos detalhado ..................................................................52 Figura 55 Filtro Corta Faixa de 60Hz..........................................................................53 Figura 56 Filtro Passa Baixa de 100Hz ......................................................................53 Figura 57 Esquemtico da implementao em FPAA ................................................54 Figura 58 Diagrama de Casos de Uso .......................................................................56 Figura 59 Diagrama de Classes .................................................................................56 Figura 60 Diagrama de seqncia Ajustar Horrio..................................................57 Figura 61 Diagrama de seqncia Visualizar Horrio .............................................57 Figura 62 Diagrama de seqncia Abrir Arquivo .....................................................57 Figura 63 Diagrama de seqncia Capturar Arquivo...............................................58 Figura 64 Diagrama de seqncia Desenhar Grfico..............................................58 Figura 65 Acoplamento FPAA Entrada....................................................................59 Figura 66 Acoplamento FPAA Sada.......................................................................60 Figura 67 Rudo Composto por 60 e 120Hz ...............................................................60 Figura 68 Rudo de 60Hz............................................................................................61 vii

Figura 69 Sinal ECG Tratado .....................................................................................61 Figura 70 ECG com Ganho 500 .................................................................................62 Figura 71 ECG com Ganho 1000 ...............................................................................62 Figura 72 ECG com Ganho 2000 ...............................................................................63 Figura 73 ECG com Ganho 2000 e Rudo de 120Hz .................................................64 Figura 74 Horrio do Holter ........................................................................................64 Figura 75 Prottipo do Holter......................................................................................65

viii

LISTA DE SIGLAS
AOP Amplificador Operacional bps bits por segundo BW Largura de Banda (Bandwidth) DC Direct Current dpASP dynamically programmable Analog Signal Processing ECG Abreviao de Eletrocardiograma FPAA Field Programmable Analog Arrays FPGA Field Programmable Gate Arrays Holter Aparelho de ECG porttil LUT Look Up Table PID Proportional Integral Diferentiate RTC Real Time Clock RMS Root Median Square SAR - Successive Approximation Register SPI Serial Peripheral Interface SRAM Static Randomic Acess Memory

ix

LISTA DE SMBOLOS
- Ohm dB Decibis Hz Hertz KHz Kilo Hertz MHz Mega Hertz mV Mili volts V Micro volts V Volts

RESUMO
Assim como nos FPGAs, a tecnologia FPAA tem como objetivo aumentar a produtividade, reduzindo tempo e gastos no desenvolvimento, facilitando futuras alteraes com o mnimo de impacto no sistema em execuo. O foco deste projeto foi utilizar esta tecnologia, para a implementao de um Holter de ECG, utilizando seus recursos para tratar o sinal a ser adquirido. Para a aquisio de um sinal de ECG - sigla denominada para Eletrocardiograma so necessrias vrias etapas envolvendo principalmente amplificao e filtragem do sinal. O projeto contm tambm um microcontrolador que realiza a converso do sinal analgico para digital, armazenando-o em um carto de memria para posteriormente ser capturado por um microcomputador dotado de software especfico para o projeto, disponibilizando assim, as informaes para anlise de um profissional. Palavras-chave: FPAA, Eletrocardiograma, ECG

xi

ABSTRACT
As well as in the FPGA's, technology FPAA it has as objective to increase the productivity, reducing time and expenses in the development and facilitating future changes with the minimum of impact in the system in execution. The goal of this project is to use this technology, for the implementation of a Holter of ECG, using its resources to treat the signal to be acquired. For the acquisition of ECG signal - acronym called for Eletrocardiogram - they are necessary some stages involving mainly amplification and filtering of the signal. The project also contains a microcontroller that carries through the conversion of analog to digital signal, storing it in a memory card later to be captured by a microcomputer endowed with specific software for the project, thus making the information for analysis of a professional. Keywords: FPAA, Eletrocardiogram, ECG

xii

1 1. INTRODUO Atualmente a criao de sistemas analgicos um tanto quanto complexa e trabalhosa. Pode-se fazer uma comparao grosseira na implementao de um microprocessador utilizando portas lgicas discretas e utilizando FPGAs. O mesmo ocorre para a tecnologia FPAA, onde interfaces disponibilizam recursos para o desenvolvimento de sistemas analgicos (filtros, PIDs, somadores, multiplicadores, sample-hold) baseados em Amplificadores Operacionais, que podem ser facilmente alterados, sem que seja necessria a remontagem do circuito ou a substituio de componentes eletrnicos. A tecnologia tem grande importncia nos avanos da medicina, sendo aplicada na preveno, diagnstico e tratamento de muitas patologias. Com o grande nmero de pesquisas e trabalhos cientficos, voltados reas muito especficas da medicina, inmeros avanos tem sido conquistados, e tais avanos tendem a prolongar e melhorar o modo de vida do homem. Uma destas reas a cardiovascular. Muitas das doenas, quando detectadas com antecedncia, podem ser tratadas, sem que o paciente fique com seqelas. O exame utilizado para diagnosticar algumas das doenas cardiovasculares chama-se eletrocardiograma, ou simplesmente representado pela sigla ECG. Nosso corpo gera sinais de biopotncias, resultantes de atividades eletroqumicas das clulas. Normalmente tais sinais caracterizam-se por baixssima amplitude. Um exame ECG realizado capturando-se os sinais de biopotenciais eltricos presentes nos msculos cardacos. O objetivo principal deste projeto foi implementar um Holter de ECG explorando a tecnologia FPAA. O nome Holter deve-se ao nome de seu criador, Norman J. Holter que em 1961 introduziu um sistema porttil para gravao de eletrocardiogramas de um longo perodo de tempo. Atualmente, a construo de circuitos analgicos envolvendo Amplificadores Operacionais tanto quanto trabalhosa e alteraes posteriores no circuito demandam tempo e esforos. A tecnologia FPAA tem como objetivo reduzir estes fatores, aumentando a produtividade. Tal tecnologia possibilita a utilizao de configurao dinmica, a qual permite que, a funo executada pelo circuito seja alterada sem muitos esforos. O sistema captura o ECG do paciente, para posteriormente, um profissional da sade analisar os dados coletados atravs de uma interface grfica. O dispositivo

2 porttil tem capacidade de armazenamento de aproximadamente 24 horas. Os dados foram identificados por data e hora, pois a aquisio no foi sob-demanda, ou seja, o paciente ir iniciar a coleta de informaes em momentos pontuais ou no pontuais. Um microcontrolador foi responsvel pelo gerenciamento e comunicao dos dispositivos utilizados na construo do Holter de ECG, executando todo o controle e interfaceamento entre paciente, Holter e microcomputador.

3 2. FUNDAMENTAO TERICA 2.1. ECG 2.1.1. Fisiologia O corao possui diferentes estgios de excitao eltrica. Esta excitao eltrica constituda pela composio de cinco sinais bsicos denominados P, Q, R, S e T. O controle rtmico de contrao do corao no determinado pelo sistema neurolgico. O ritmo cardaco gerado pelo nodo sinusal (clula cardaca modificada localizada no trio direito) exibido na Figura 1 (a), o qual gera um potencial eltrico que propagando-o clulas vizinhas, sendo ele quem estimula os trios e os msculos ventriculares.

(a)

(b)

Figura 1 Sistema condutor do corao

O ECG (eletrocardiograma) corresponde composio dos sinais eltricos gerados pelos diferentes estgios da excitao eltrica do corao Figura 1 (b). O sinal eltrico gerado pelo fenmeno de polarizao e despolarizao das clulas cardacas. Atravs de uma tcnica denominada vectocardiografia (VCG), obtem-se trs derivaes ortogonais (Figura 2). Eletrodos colocados nas posies mostradas na Figura 2 (onde RA refere-se ao brao direito e LA ao brao esquerdo) capturam os sinais eltricos provenientes dos

4 msculos cardacos. Efetuando um tratamento deste sinal, para a eliminao de rudos provenientes do ambiente e do prprio paciente, possvel obter o sinal de ECG do paciente.

Figura 2 Tringulo de Einthoven

As trs fases de funcionamento do corao so exibidas pela Figura 3, onde a primeira fase a distole, a segunda sstole auricular e a terceira sstole ventricular. Esta figura indica um tpico ECG normal. Qualquer distrbio na atividade eltrica do corao que resulte na alterao do ritmo cardaco poder ser uma arritmia cardaca.

Figura 3 Ciclos de funcionamento do corao

5 2.1.2. Caractersticas do sinal A freqncia tpica dos sinais que compem o sinal de ECG variam entre 0,01 e 250Hz com amplitude entre 0,5 e 4mV (WEBSTER, 2002). Eletrocardiogramas de alta resoluo realizam a aquisio do sinal em um faixa de freqncia maior, aproximadamente 300Hz. No entanto a aquisio na faixa entre 0,05 e 100Hz, retorna a maioria das informaes necessrias para que o profissional de sade realize as anlises necessrias. Os principais rudos que interferem no sinal de ECG so os sinais eletromagnticos do ambiente, normalmente com freqncia de 60Hz, assim como sinais de biopotenciais gerados por movimentos dos msculos do paciente (EMG). 2.1.3. Eletrodos Utilizados para diagnsticos invasivos ou no-invasivos. Basicamente capturam a atividade eltrica das clulas. Algumas clulas podem gerar potencias de centenas de milivolts, no entanto o potencial que chega at a pele, por exemplo, varia de alguns microvolts at poucos milivolts. Por este motivo muitas vezes so utilizados mtodos invasivos, com o objetivo de reduzir tais perdas e tambm de obter maior preciso no posicionamento do eletrodo no local ao qual deseja obter os sinais de biopotenciais. 2.1.4 Aquisio ECG Um diagrama do circuito, para aquisio dos sinais de biopotenciais de ECG proposto pela Figura 4 (Fonte: Texas Instruments). Um Amplificador de Instrumentao de alto CMRR realiza uma pr-amplificao do sinal, posteriormente um Amplificador Operacional aplica um ganho de 1000. Este diagrama possui sistema de realimentao com objetivo de eliminar tenses de modo comum. Tal circuito implementa tambm dois filtros, sendo o primeiro um Filtro Passa Alta de 0,05Hz e o segundo um Filtro Passa Baixa de 100Hz.

Figura 4 Aquisio de biopotencial de ECG (Texas Instruments)

2.2. Amplificadores Operacionais Sero descritos os princpios bsicos do funcionamento dos Amplificadores Operacionais. Como descrito anteriormente, o condicionamento de sinais depende de algumas tarefas, sendo cada tarefa crucial para que o sinal a ser disponibilizado seja realmente o sinal real que se deseja adquirir. Todas estas tarefas podem ser realizadas utilizando Amplificadores Operacionais. A Figura 5 exibe o smbolo padro de um Amplificador Operacional

Figura 5 Amplificador Operacional

7 2.2.1. Definio O AOP um amplificador Operacional CC multiestgio com entrada diferencial cujas caractersticas se aproximam das de um amplificador ideal (PERTENCE, 1999). Algumas caractersticas ideais de Amplificadores Operacionais: a) Ganho infinito b) Resposta em freqncia infinita c) Rise-Time nulo d) Overshoot nulo e) Tenso de offset nula f) Resistncia de sada nula g) Resistncia de entrada infinita h) Drift nulo Tais caractersticas sero explicadas nos prximos itens, o que ir dispor uma razo para cada suposio. 2.2.2. Ganho Para a aquisio de sinais de baixa amplitude, necessrio que o sinal seja amplificado centenas ou milhares de vezes. Temos como exemplo o prprio sinal de ECG que pode ter sua amplitude variando entre 0 a 5mV. Um ganho de 500x aplicado a um sinal de 5mV, resultaria em um sinal com amplitude entre 2,5V. O ganho mximo de um Amplificador Operacional em malha aberta na teoria infinito, alguns AOP mais recentes como o OP-77 possui ganho de 12.000.000 ou 12 V/V ou expresso em decibis (dB) 20log10(12x106) = 141,6 dB. Mesmo que o ganho possa ser de 100 mil vezes, na prtica o sinal de sada nunca ira ultrapassar os valores fornecidos pela fonte simtrica. Em malha aberta, ou seja, com o amplificador operacional trabalhando sem realimentao as tenses de sada tero limites de Vsaturao. O ganho em AOP definido pela Equao 2.1 onde: Ei = sinal de entrada Eo = sinal de sada Av = ganho de tenso

Av =

Eo Ei

(2.1)

Usualmente expresso em decibis:

Av (dB) = 20 log

Eo Ei

(2.2) (2.3)

Av = 10 n Av (dB) = 20n

Ou seja, o ganho simplesmente a relao entre o sinal de entrada e o sinal de sada do AOP. 2.2.3. Saturao Quando um Amplificador Operacional atingir em sua sada um nvel de tenso fixo, a partir do qual no se pode mais variar sua amplitude, dizemos que o Amplificador Operacional atingiu a saturao (PERTENCE, 1999). Pelo fato dos Amplificadores Operacionais possurem alimentao finitas, normalmente tendo sua alimentao negativa entre -3 e -18V, e sua alimentao positiva entre +3 e +18V, sua tenso mxima de sada limitada, ou seja, um AOP ideal com alimentao positiva de 18V, ir dispor em sua sada um sinal de no mximo 18V. A Figura 6 exibe o comportamento do sinal de sada Vo de um Amplificador Operacional submetido a um sinal de entrada Vi. Percebe-se nesta figura que h uma regio linear onde o sinal de entrada amplificado mas no sofre saturao.

Figura 6 - Saturao em AOPs

9 2.2.4. Modos operao 2.2.4.1. Sem realimentao Tambm denominado como malha aberta (Figura 7), este modo no estipula ganho no Amplificador Operacional, o ganho fornecido pelo AOP o ganho determinado pelas caractersticas fsicas do componente. Pelo fato de no possibilitar controle de ganho nesta configurao, este modo muito utilizado na construo de comparadores.

Figura 7 Amplificador Operacional em Malha Aberta

2.2.4.2. Realimentao negativa Atravs desta configurao possvel obter uma resposta linear e ganho controlvel. Vrias aplicaes como amplificadores inversores, amplificadores noinversores, somadores, diferenciadores, integradores e filtros so construdos utilizando Amplificadores Operacionais no modo de realimentao negativa. A Figura 8 ilustra um Amplificador Operacional na configurao de realimentao negativa.

Figura 8 AOP com realimentao negativa

10 2.2.4.2.1. Amplificador inversor Circuito com ganho linear, sendo que seu sinal de sada 180 defasado em relao ao sinal de entrada. Tal fato observado na Equao 2.4 abaixo, onde tem-se um sinal negativo. A Figura 8 mostra tal configurao. A impedncia de entrada desta configurao determinada por R1.

Avf =

Rf vo = vi R1

(2.4)

2.2.4.2.2. Amplificador no inversor Esta configurao oposta configurao inversora, pois no apresenta defasagem do sinal de sada e possui alta impedncia de entrada.

Figura 9 Amplificador no-inversor

Avf =

Rf vo = 1+ vi R1

(2.5)

2.2.4.2.3. Seguidor de tenso (buffer) Esta configurao atribui ganho = 1, normalmente utilizada no casamento de impedncias. O circuito utilizado no-inversor com, Rf = 0 e R1 . Substituindo estes valores na Equao 2.5, tem-se ganho = 1.

11

Figura 10 Seguidor de tenso (buffer)

Avf =

Rf vo 0 = 1+ = 1+ = 1 vi R1

(2.6)

2.2.4.2.4. Amplificador somador inversor Utilizando resistores com resistncias distintas, este circuito realiza soma ponderada dos sinais em suas entradas. Se todos os resistores forem iguais, o sinal de sada ser a soma de todos os sinais de entrada. Configurao muito utilizada para a construo de grampeadores, os quais podem ser facilmente ajustveis utilizando um divisor resistivo em uma de suas entradas.

Figura 11 Amplificador somador inversor

v v v vo = R f 1 + 2 + 3 R1 R2 R3
Realizando simplificaes tem-se: Se R1 = R2 = R3 = Rf

(2.7)

12

vo = (v1 + v2 + v3 )
Se R1 = R2 = R3 = 3Rf

(2.8)

v +v +v vo = 1 2 3 3

(2.9)

Figura 12 Amplificador somador no inversor

vo =

v1 + v2 + v3 3

(2.10)

2.2.4.2.5. Amplificador diferencial ou subtrator A sada de um AOP, nesta configurao, realiza a subtrao entre os dois sinais aplicados em suas entradas. Alm de realizar esta subtrao possvel aplicar um ganho, determinado pelos resistores R1 e R2 . Utiliza-se esta configurao na construo de Amplificadores de Instrumentao.

13

Figura 13 Amplificador subtrator

vo =

R2 (v2 v1 ) R1

(2.11)

2.2.4.3. Realimentao positiva Apresenta como inconveniente o fato de conduzir o circuito instabilidade (PERTENCE, 1999). Configurao aplicada na construo de osciladores e comparadores.

Figura 14 AOP com realimentao positiva

2.2.4.4. Curva de resposta A curva de resposta exibe o comportamento do ganho do Amplificador Operacional conforme a variao da freqncia. Verificando a Figura 15, que compara a curva de resposta de um AOP em dois modos de operao. Existe uma faixa de freqncia onde o ganho no alterado com a variao de freqncia.

14 Para configuraes onde se deseja aplicar apenas ganho, esta curva pode determinar qual a largura de banda (BW) em que o ganho ir permanecer constante com a variao da freqncia.

Figura 15 Resposta em freqncia em malha aberta e malha fechada

2.2.5. Freqncia de corte e taxa de atenuao A taxa de atenuao representa uma constante que atenua o sinal de entrada conforme a variao de freqncia deste sinal. Na Figura 15 a atenuao do sinal de entrada para o AOP em malha aberta, ocorre a uma taxa constante de 20dB/dcada. O ponto A na figura denominado freqncia de corte (fc). Neste ponto o ganho mximo sofre uma atenuao de 3dB. 2.2.6. Tenso de offset Mesmo que um AOP possua suas entradas aterradas, teoricamente o valor em sua sada, independe do Ganho aplicado, deveria ser 0V. No entanto, devido a fatores fsicos internos do AOP, uma tenso resultante na sada denominada tenso de offset. (PERTENCE, 1999) A Figura 16 exibe tal caracterstica.

15

Figura 16 Tenso de offset

Alguns fabricantes costumam fornecer terminais especficos para o ajuste de offset, tais terminais so conectados um potencimetro, com a finalidade de cancelar a tenso de offset. No entanto, alguns fabricantes no fornecem tais terminais, sendo necessrio utilizao de circuitos resistivos para balanceamento, uma soluo simples e prtica, mas no eficaz, utilizar um resistor de equalizao mostrado na Figura 17. A valor do resistor utilizado obtido pela seguinte equao:

Re =

R1 R f R1 + R f

(2.12)

Figura 17 AOP com resistor de equalizao

2.2.7. Slew-rate Define-se slew-rate (SR) de um Amplificador como sendo a mxima taxa de variao da tenso de sada por unidade de tempo. Normalmente o SR dado em V/s (PERTENCE, 1999).

16 Quanto maior o valor do SR, melhor ser o amplificador. Um AOP 741 possui SR = 0,5 V/s, um AOP LM 318 possui SR = 70 V/s, ou seja, o AOP LM 318 pode variar at 70V em 1 s. Para sinais com alta freqncia e com grandes variaes de tenso o Slew-rate torna-se um fator importante.

Figura 18 Slew-rate (adaptao de FRANCO, 2002)

2.2.8. Rise-time Rise-time (Tr) ou tempo de subida, o tempo gasto para que, o sinal de sada de um AOP varie de 10 a 90% de seu valor final.

Figura 19 Rise-time

17 2.2.9. Overshoot o valor em percentual, que informa em quanto o valor final desejado foi ultrapassado na sada do AOP durante sua transio, como mostra a Figura 15. 2.2.10. Drift a taxa mxima de variao da tenso de sada por graus Celsius, normalmente expresso em V/C . Um LM741 possu Drift mximo de 15 V/C, ou seja, para cada grau de temperatura, o AOP ir variar no mximo 15 V. 2.2.11. Associao em cascata de estgios no-iteragentes Chama-se de estgio no-iteragente aquele que apresenta uma alta impedncia de entrada, de modo a no servir de carga para o estgio anterior, pois, idealmente, no drena nenhuma corrente do mesmo (PERTENCE, 1999). O ganho total em associaes em cascata dado por:

Av =

vo vo1 vo 2 vo3 v = x x x...x o vi vi vo1 vo 2 vo ( n1)

(2.13)

Av (dB) = Av (dB)1 + Av (dB) 2 + Av (dB) 3 + ... + Av (dB) n


2.2.12. CMRR Common-mode rejection ratio, ou rejeio de modo comum, eficincia de um Amplificador Operacional rejeitar (atenuar) sinais idnticos aplicados, simultaneamente as suas entradas. Tal caracterstica muito til na eliminao de rudos, onde muitos deles satisfazem condio descrita, nestes casos boa parte do rudo eliminado, dependendo do CMRR. 2.2.13 Amplificador de Instrumentao Um Amplificador de Instrumentao (IA Instrumentation Ampflifier), um amplificador subtrator que possu as seguintes caractersticas: a) CMRR extremamente alto.

18 b) Impedncia de sada extremamente baixa (idealmente zero). c) Impedncia diferencial de entrada extremamente alta (idealmente infinita). d) Ganho preciso e estvel, tipicamente na faixa de 1 V/V at 103 V/V. Amplificadores de Instrumentao (IA), so utilizados na amplificao de sinais com baixa amplitude, os quais esto sujeitos a presena de componentes de modocomum.Tas caractersticas podem ser encontradas em controles de processos industrias e na biomedicina.

Figura 20 Amplificador de Instrumentao

A tenso de sada de um Amplificador de Instrumentao definida pela Equao 2.14

2R vo = 1 + 3 (v1 v2 ) Rg

(2.14)

2.3. Filtros 2.3.1. Definio quadripolo que atenua determinada freqncia do espectro do sinal de entrada e permite passagem das demais. (PERTENCE, 1999) Alm da atenuao outra caracterstica importante dos filtros que estes causam um deslocamento de fase no sinal, tal defasagem varia de acordo com a freqncia aplicada.

19 Um filtro um circuito que processa sinais dependentes de freqncia. A maneira como estes se comportam com a variao de freqncia chamado de resposta em freqncia, sendo expressa pela funo de transferncia H(j), onde =2f a freqncia angular em radianos por segundo (rad/s) e j a unidade imaginria (j = -1). Lembrando que espectro do sinal a sua decomposio numa escala de amplitude (V) versus freqncia (Hz). 2.3.2. Classificao Os filtros podem ser classificados em trs aspectos: 1) Quanto funo executada a) Filtro Passa Baixa: permite apenas a passagem de sinais com freqncias inferiores a freqncia de corte (c), sendo os demais sinais atenuados. |H(j)| = 1, quando < c, e |H(j)| = 0, quando > c (Figura 21 a). b) Filtro Passa Alta: permite apenas a passagem de sinais com freqncias superiores a freqncia de corte (c), sendo os demais sinais atenuados. |H(j)| = 0, quando < c, e |H(j)| = 1, quando > c (Figura 21 b). c) Filtro Passa Faixa: permite apenas a passagem de sinais com freqncias entre fc1 e fc2. Qualquer sinal com freqncia que no esteja entre fc1 e fc2 ser atenuado. |H(j)| = 0, quando H < < L, e |H(j)| = 1, quando L < < H (Figura 21 c). d) Filtro Rejeita Faixa: permite apenas a passagem de sinais com freqncia que no estejam na faixa entre fc1 e fc2. Os sinais com freqncias entre fc1 e fc2 sero atenuados. |H(j)| = 1, quando H < < L, e |H(j)| = 0, quando L < < H (Figura 21 d). e) Filtro Passa Todas: |H(j)| = 1 para qualquer valor de . Este filtro no altera a amplitude do sinal. No entanto gera deslocamento de fase do sinal (Figura 21 e f).

20 A Figura 21 ilustra as respostas ideais dos filtros quanto sua funo executada. Para os filtros passa baixa e passa alta, c representa a freqncia de corte, para os filtros passa faixa e corta faixa, L e H representam a faixa de freqncia de passagem ou de corte.

Figura 21 Resposta de filtros ideais (adaptao de FRANCO, 2002)

A Figura 22 exibe o resultado no domnio da freqncia e do tempo, a aplicao dos filtros ideais, sobre um sinal de entrada dado por: vI(t) = 0,8sen(0 t) + 0,5sen(40 t) + 0,2sen(160 t)

21

Figura 22 Aplicao de filtros ideais (adaptao de FRANCO, 2002)

No entanto, a resposta real dos filtros tem comportamento descrito pela Figura 23, onde exibido o comportamento de um filtro passa baixa.

Figura 23 Resposta de filtros reais (adaptao de PERTENCE, 1998)

22 O ponto de corte do sinal denominado freqncia de corte, neste ponto o sinal sempre ter uma atenuao de 3dB em relao ao ganho mximo, independentemente de sua ordem e funo resposta. A freqncia de corte obtida pela seguinte equao:

fc =

1 (2.15) 2RC

2) Quanto tecnologia utilizada a) Filtros passivos: construdos atravs de componentes passivos tais como: resistores, capacitores e indutores. b) Filtros ativos: construdos atravs de componentes ativos podendo ser: amplificadores operacionais, vlvulas ou transistores. c) Filtros digitais: estes filtros utilizam componentes digitais que convertem o sinal de entrada analgico para um sinal digital, realizam determinado processamento com este valor digital, e o convertem novamente para um sinal analgico, se necessrio. 3) Quanto funo-resposta ou aproximao utilizada. Podem ser de Butterworth, Chebyshev, Cauer, Bessel ou Elpticos. Vantagens dos filtros ativos: - amplificao do sinal de entrada (ganho). - eliminao de indutores, os quais em baixas freqncias so volumosos, pesados e caros. - facilidade na associao em cascata e flexibilidade na utilizao em projetos. Desvantagens dos filtros ativos: - exigem fonte de alimentao. - resposta em freqncia dos filtros limitada capacidade de resposta dos Amplificadores Operacionais. - no aplicado a sistemas de mdia e alta potncia.

23 2.3.3. Ressonncia e Fator Qo e Seletividade Para a explicao destes itens ser utilizado o embasamento em um circuito RLC srie. Diz-se que o circuito est em ressonncia quanto impedncia for a menor possvel, ou seja, teremos a mxima corrente no circuito (PERTENCE, 1998) . Nos filtros Passa Faixa e Rejeita Faixa a largura de faixa (bandwidth) dada por: BW = f c1 f c 2 E o fator de qualidade Qo dados por:
f0 BW

(2.16)

Qo =

(2.17)

Isto indica que quanto maior o fator de qualidade, menor ser a largura de faixa (BW), ou seja, maior ser a seletividade do circuito. Fazendo com que a banda de passagem de freqncia diminua se aproximando da freqncia de ressonncia (fc). K ganho mximo do filtro. Como so utilizados Amplificadores Operacionais possvel construir filtros dotados de ganho. Como os filtros no so ideais, os pontos de corte foram definidos como ponto pontos de meia potncia, pontos onde o ganho de 0,707 (3dB), tambm denominado ponto de atenuao 3dB, pois nesses pontos se tem uma queda de 3dB em relao ao ponto de ganho mximo. O conceito de seletividade tem muito significado nos filtros Passa Faixa e Rejeita Faixa. A Figura 24 mostra que um alto fator de seletividade implica que apenas sinais muito prximos da fo no sero atenuados, e quanto maior esta seletividade mais estes sinais prximos de fo sero atenuados.

Figura 24 Fator Seletividade (adaptao PERTENCE, 1998)

24 2.3.4. Defasagens em Filtros Dependendo a classificao do filtro utilizado, este possui comportamento diferente quanto defasagem do sinal de entrada versus a freqncia. Existem situaes que esta defasagem entre o sinal de entrada e o sinal de sada pode prejudicar a performance de um sistema. Para diminuir este problema existem os circuitos denominados circuitos deslocadores de fase ou tambm chamados filtros Passa Todas. 2.3.5. Ordem em Filtros Por definio, a ordem de um filtro corresponde ao nmero de plos existentes na funo de transferncia do mesmo. Em termos fsicos, podemos dizer que a ordem de um filtro dada pelo nmero de redes de atraso presentes em sua estrutura. A Figura 25 ilustra um exemplo de um filtro Passa Alta com duas redes de atraso, portanto de ordem 2.

Figura 25 Ordem em Filtros

Tambm utilizado o termo polinmio, pois no exemplo da Figura 24 a tenso de entrada na segunda rede de atraso ser a tenso de sada da primeira rede de atraso. Quanto maior a quantidade de redes de atraso maior ser o polinmio. A Figura 26 contm diversas respostas, obtidas a partir de um filtro Butterworth, supondo fc=10Hz e KPB = 1 (ganho do filtro quando a freqncia nula)

25

0,0 -20,0 Ganho (dB) -40,0


n=6 n=1

n=2

-60,0 -80,0 -100,0 1 10 Frequncia 100 1000


n=8 n=4

Figura 26 Ordem de um filtro Butterworth

Para um filtro Butterworth de primeira ordem a taxa de atenuao TA de 20dB/dcada, o de segunda ordem de 40dB/dcada. A TA (Taxa de Atenuao) variao a qual o filtro atenuar o sinal de entrada conforme cada variao de freqncia. Como o grfico mostra a atenuao em dB, podemos dizer que: -20dB = Ganho 0,1x, portanto Vo = 0,1*Vi -40dB = Ganho 0,01x, portanto Vo = 0,01*Vi -60dB = Ganho 0,001x, portanto Vo = 0,001*Vi -80dB = Ganho 0,0001x, portanto Vo = 0,0001*Vi Sendo que essa atenuao ocorre para cada dcada de freqncia que variada. 2.3.6. Filtros de Butterworth A funo resposta obtida pela aproximao de Butterworth obtida pela seguinte equao:

H(j ) =

K
1+ c
2n

(2.18)

26 onde, K o ganho mximo quando a freqncia w nula. 2.3.7. Filtros de Chebyshev Os filtros de Chebyshev maximizam a faixa de transio, no entanto uma tenso de RIPPLE inserida no resultado. A equao 2.19 contm a funo resposta para a aproximao de Chebyshev.

H(j ) =

K 1+ E C c
2 2 n


(2.20)
2

(2.19)

E = 10 PR/10 1

PR(dB) = 20log 1 + E
onde,

(2.21)

K o ganho mximo quando a freqncia w nula. PR a amplitude da tenso de RIPPLE, sendo no mximo de 3dB. A Figura 27 exibe as curvas de repostas de filtros de Chebyshev e Butterworth de segunda e dcima ordem.

Resposta em freqncia

-5

Butterworth 10 ordem

Atenuao (dB)

-10

-15

Butterworth 2 ordem

-20

-25

Chebyshev 10 ordem

-30 100

1.000

10.000

Frequncia (Hz)

Figura 27 Comparao filtro Butterworth X Chebyshev

27 2.4. Protocolo SPI SPI (Serial Peripheral Interface), uma comunicao serial sncrona utilizada como interface entre um microcontrolador e seus perifricos. Utilizada duas linhas de controle e duas linhas de dados. Linhas de controle: CS (Chip Select) e SCLK (Serial Clock) Linhas de dados: SDI (Serial Data In) e SDO (Serial Data Out) A transmisso de dados controlada pelo microcontrolador, selecionando o dispositivo SPI pelo pino CS, normalmente ativo em nvel baixo, os dados so enviados pelo pino SDO e recebidos pelo pino SDI. Quando o dispositivo no est ativo, seu pino SDO entra em estado de alta impedncia. A utilizao de dispositivos SPI em cascata permitida, e pode ser realizada de duas formas diferentes. Nas duas formas o mesmo sinal de relgio (SCLK) fornecido para os dispositivos SPI. Uma delas utilizada registradores de deslocamento, onde todos os dispositivos so ativados simultaneamente pelo Chip Select (Figura 28 a).

(a) Figura 28 Dispositivos SPI em cascata

(b)

Outra forma da utilizao em cascata disponibilizar um pino distinto para cada dispositivo SPI tendo a funo de Chip Select. Desta maneira os dados de entrada e so ligados a um barramento, que conecta todos os dispositivos ao microcontrolador (Figura 28 b). Neste caso necessrio cuidado especial para que mais de um dispositivo SPI no seja ativado simultaneamente. As Figuras 29 e 30 contm uma pequena

28 demonstrao da utilizao do protocolo SPI para a transmisso e recepo de informaes.

Figura 29 Enviando dados

Figura 30 Recebendo dados

2.4. Protocolo I2C Utiliza duas linhas de comunicao seriais, um para sincronismo de relgio e outra linha para transmisso e recepo de dados. O dispositivo que controla a troca a comunicao chamado de mestre. Os demais componentes conectados ao mestre so chamados de escravos, cada escravo possui seu respectivo endereo, pelo qual ir responder solicitaes do mestre. O barramento de dados bidirecional, quando desocupado ambas as linhas, relgio e dados permanecem em nvel lgico alto. A transferncia de dados iniciada pela mudana de estado da linha de dados de nvel lgico alto para baixo. A finalizao da transferncia sinalizada pela passagem da linha de dados do nvel lgico baixo para alto, enquanto o nvel lgico do sinal de relgio permanece em alto. A quantidade de bytes transmitidos entre as condies de inicio e fim de transmisso no so limitadas. Alm disso um sinal de reconhecimento deve ser transmitido do receptor para o transmissor, para confirmar o recebimento das informaes. A Figura 31 exibe uma simulao das condies utilizadas no protocolo

29

Figura 31 Protocolo I2C

2.5. MultiMediaCard A organizao interna da memria MMC semelhante de um disco rgido IDE. Para realizar a leitura ou escrita de um, ou mltiplos blocos, o microcontrolador envia um comando de leitura ou escrita. O comando contm o endereo, ao qual a interface da memria ir interpretar e responder ao microcontrolador. O Carto de memria contm um controlador interno que disponibiliza um interface de comunicao (Figura 32).

Figura 32 Diagrama em blocos da memria MMC (SanDisk)

O ciclo de escritas para a memria MMC da SanDisk de 100 mil vezes. Considerando a gravao de um mesmo bloco 24 vezes ao dia (1 vez por hora), o ciclo de vida til da memria seria de 11,4 anos. A quantidade terica de leituras lgicas de um bloco ilimitada.

30 O protocolo SPI pode ser utilizado para comunicao com a memria. A menor unidade de alocao um bloco, cada bloco constitudo de 512 bytes. Atravs do barramento SPI utilizado um protocolo especfico do carto de memria que permite a execuo das suas funes, tais como inicializao, leitura, gravao etc. So na verdade comandos, alguns possuindo argumentos, por exemplo, o comando de leitura que tem como argumento o endereo do bloco a ser lido. Existem tambm formatos pr-determinados de mensagens de respostas enviadas pela memria MMC. 2.6. RTC (Real Time Clock) RTC so circuitos capazes de gerar escalas de tempo, em essncia o componente principal de um relgio digital. Utilizando um oscilador com freqncia prestabelecida, a lgica interna do circuito realiza as operaes necessrias para disponibilizar em seus registradores valores de centsimos de segundos at anos. O RTC apresentado pela figura 33 fabricado pela Dallas Semiconductor.

Figura 33 Arquitetura RTC (Real-Time Clock)

A interface para estes dispositivos pode ser paralela ou serial. A figura acima exibe a arquitetura de um RTC com interface I2C fabricado pela Dallas Semiconductors. Outra caracterstica, que muitos RTCs possibilitam a utilizao de alarmes, para isso, registradores especficos so programados conforme necessrio.

31 O Anexo C contm os endereos para escrita e leitura dos registradores utilizados para configurar o RTC DS1307. Os registradores so manipulados utilizando o protocolo I2C explicado anteriormente.

(a)

(b)
Figura 34 Configurao tpica de operao do RTC

O circuito bsico para realizao da interface com o RTC ilustrado pela Figura 34 (b).

32 3. TECNOLOGIA FPAA E dpASP Inicialmente conhecido como FPAA (Field Programmable Analog Arrays), recentemente chamado de dpASP (dinamically programmable Analog System Processing) esta tecnologia possibilita a implementao de sistemas analgicos com certa facilidade, se comparado a construo de sistemas utilizando componentes discretos. Nos captulos anteriores foram descritas as fundamentaes tericas necessrias para implementao de sistemas cuja finalidade seja o processamento de sinais analgicos. Tais implementaes envolvem clculos e montagem de vrios componentes discretos, onde a probabilidade de erros maior. A tecnologia FPAA tem o objetivo de reduzir tais problemas, facilitando o desenvolvimento e aumentando a produtividade. Resumidamente um FPAA, constitudo internamente por vrios Amplificadores Operacionais, capacitores, barramentos e chaveadores, os quais so reorganizados internamente. Esta configurao armazenada em uma memria de configurao do tipo SRAM. Neste captulo sero abordados, especificamente, os componentes AN121E04 e AN221E04 fabricados pela Anadigm. 3.1. Arquitetura Cada circuito integrado constitudo por: - 4 blocos analgicos configurveis (CABs Configurable Analog Block) - 4 clulas de entrada ou sada (E/S) configurveis - 2 clulas dedicadas como sada - 1 tabela LUT (Look Up Table) - 1 conversor A/D do tipo SAR (Successive Approximation Register) - 1 bloco gerador tenso de referncia - 1 bloco gerador de osciladores - 1 interface de configurao

33

Figura 35 Arquitetura AN121E04 e AN221E04

A maior parte do processamento do sinal analgico, ocorre dentro dos CABs (blocos analgicos configurveis) onde a Figura 35 exibe 4 deles. A LUT (tabela de busca) compartilhada por todos os CABs, sendo muito utilizada para a linearizao de sensores e gerao de sinais. Uma tenso de referncia disponibilizada para cada um dos CABs, e tambm aos pinos externos para conexes de filtros capacitivos. O dispositivo AN221E04 pode ser re-configurado dinamicamente enquanto em operao, tal re-configurao pode ser total ou parcial. A configurao enviada ao dispositivo pela interface de programao enquanto o mesmo encontra-se ativo e operante. O dispositivo AN121E04 tambm pode ser re-configurado, no entanto, precisa ser reiniciado para que as novas configuraes tenham efeito.

34 As entradas de sinal do mundo externo podem ser conectadas atravs das 4 clulas configurveis de Entrada/Sada. A 4 clula possu um multiplexador, que permite a conexo de at 4, sinais ou cargas distintas. 3.1.1. Clula de Entrada/Sada configurvel O circuito integrado possu 4 clulas, cada clula de Entrada/Sada contm um conjunto de recursos, os quais permitem alta fidelidade nas conexes com o mundo externo sem a necessidade de componentes externos. Para maximizar esta fidelidade, todos os sinais roteados e processados dentro destas clulas so diferenciais. Caso o sinal de entrada utilizado no seja diferencial, um chaveamento interno ir conectar o sinal negativo da entrada diferencial (IO1N) ao VMR (Voltage Main Reference).

Figura 36 Clula de E/S configurvel

Sendo configurada como entrada, a clula disponibilizada alguns recursos que podem ser aplicados ao sinal de entrada: a) filtro anti-alias de segunda ordem: para muitos sinais, muitas vezes se faz necessrio a utilizao de um filtro passa baixa para prevenir o efeito de sombreamento. Este recurso pode ser roteado diretamente ao barramento ou configurado atravs de sua freqncia de corte. Quando utilizado, o fabricante Anadigm recomenda que, a razo entre a freqncia de corte e a freqncia mxima do sinal seja no mnimo 30. A recomendao que este recurso seja utilizado para sinais de at 15kHz. b) estabilizador do tipo chopper: este tipo de amplificador reduz consideravelmente a tenso de offset de entrada, sendo muito til para sinais de

35 baixa amplitude que necessitam de alto ganho. O ganho deste amplificador pode ser programado entre 2n com n = 4 at 7. c) buffer de ganho unitrio Quando nenhum destes recursos utilizado, e o sinal conectado diretamente ao barramento, tal conexo chamada de bypass. Os AOPs contidos nos CABs no so projetados para entregar baixas impedncias. A recomendao do fabricante quando o modo bypass for utilizado de que, a resistncia da carga externa no seja menor que 100k, e sua capacitncia menor que 100pF. A Anadigm no recomenda que o modo bypass seja utilizado, e que ao menos um ganho de buffer unitrio seja utilizado. 3.1.2. Entrada/Sada multiplexvel Um multiplexador bidirecional disponibilizado em uma das 4 clulas de E/S, permitindo a seleo de uma das 4 conexes diferenciais, que podem ser conectados a clula de E/S.

Figura 37 Clula de E/S multiplexvel

3.1.3. Clula de Sada O dispositivo possui duas clulas dedicadas sada de sinal digital ou analgico diferencial. As caractersticas das clulas de sada so semelhantes s clulas de E/S.

36 Os recursos disponveis pela clula de sada so a) filtro anti-alias: idntico ao filtro descrito no item 3.1.1 a. b) filtro programvel seguido de um conversor de sinal diferencial para sinal simples: se no for utilizado o sinal diferencial, o sinal de sada ser referenciado ao VMR (Voltage Main Reference) possuindo a metade da amplitude do sinal diferencial.

Figura 38 Clula de sada

3.1.4. Bloco Analgico Configurvel O ncleo do dispositivo contm 4 CABs (Configurable Analog Block). Dentre muitas conexes analgicas dentro de cada CAB, algumas so estticas. Outras conexes so dinmicas, podendo ser modificadas atravs da memria de configurao SRAM (Configuration SRAM). Na etapa de reinicializao do circuito, a memria SRAM totalmente limpa, aps os dados serem carregados na memria compartilhada SRAM (Shadow SRAM), e a partir desta copiados para a memria de configurao SRAM (Configuration SRAM). Cada CAB possui um banco de 8 capacitores programveis. Cada um destes 8 capacitores, na verdade, um grande banco de capacitores pequenos, mas de tamanhos iguais. Cada capacitor programvel pode ter um valor relativo entre 0 e 255 unidades de capacitncia. O valor dos capacitores no importante, mas sim a razo entre eles. Dois AOPs e um comparador so disponibilizados em cada CAB. Recursos internos de cada CAB realizam as conexes entre as entradas e sadas dos recursos disponveis, construindo assim a topologia de cada CAB. A Figura 39 exibe a matriz de chaveamento (Switch Matrix), que realiza tal tarefa, podendo por exemplo efetuar a realimentao dos AOPs.

37 H tambm, um Registrador de Aproximao Sucessiva (SAR), que quando habilitado utiliza o comparador do CAB para implementar um Conversor A/D de 8bits. A sada deste SAR-ADC pode ser roteada para o mesmo CAB ou para a Tabela de Busca (LUT) permitindo a criao de funes analgicas no-lineares, multiplicadores de tenso, linearizaes e controle automtico de ganho.

Figura 39 Bloco Analgico Configurvel

3.1.5. Tabela de busca (LUT Look Up Table) Uma Look Up Table de 256 bytes contm um contador de 8 bits, que quando selecionado realiza contagem continua crescente, retornando para zero quando um valor pr-definido for estabelecido. Cada valor deste contador representado pela LUT como um endereo. O valor apontado pelo endereo contido na LUT pode ser armazenado em um ou dois destinos dentro da memria compartilhada SRAM (Shadow SRAM). A transferncia dos dados da memria compartilhada (Shadow SRAM) para a memria de configurao (Configuration SRAM) pode ocorrer em um dos seguintes eventos: 1) quando o ltimo byte de configurao for enviado (no mximo 2 bytes).

38 2) deteco interna de passagem por zero 3) resultado de um comparador 4) pino externo EXECUTE em nvel alto. EXECUTE=1 O clock da LUT adquirido atravs de um dos quatro clocks analgicos internos. 3.1.6. Registrador de Aproximao Sucessiva (SAR) Circuito includo dentro de cada CAB permitindo a utilizao de um Conversor Analgico Digital (ADC) do tipo Successive Approximation Register (SAR). So necessrios 2 ciclos de clock com a razo de freqncia de 16 para 1. O clock mais lento (CLOCKA) determina a razo e que a converso sucessiva ir ocorrer, este valor no deve exceder 250kHz. O clock mais rpido (CLOCKB) utilizado para a converso. O resultado representado em sinal de magnitude (1 bit sinal, 7 bits magnitude). As entradas do SAR so limitadas em VMR 1.5V. A utilizao mais comum do SAR-ADC a gerao de endereos para a LUT. No final de cada converso, os 8 bits resultantes so reconhecidos pela LUT como um novo endereo. O contedo do endereo apontado pela LUT pode ser carregado em um ou dois destinos na memria compartilhada (Shadow SRAM). Um cenrio tpico quando um sinal de entrada precisa ser linearizado ou calibrado. O sinal de entrada convertido pelo ADC-SAR, o resultado utilizado pela LUT que aponta para um endereo da imagem de configurao do dispositivo que contm a tabela de linearizao. Utilizando o mecanismo de transferncia descrito no item 3.1.5 uma nova configurao apontada pela LUT, podendo ajustar o ganho de um AOP, carregada na memria compartilhada SRAM (Shadow SRAM). O conversor A/D tambm pode ser conectado diretamente s clulas de sada, disponibilizando a informao serialmente.

39

Figura 40 Exemplo utilizao A/D para linearizao

3.1.7. Tenso de referncia Todo processamento analgico dentro do dispositivo realizado tendo como tenso referencial o VMR (Voltage Main Reference), o qual tem seu valor nominal de 2.0V. A tenso VMR derivada de um fonte de referncia de alta preciso, esta fonte considera a compensao de temperatura. Alm do tenso de referncia VMR, so gerados outras duas referncias, dadas por VREF+ (1,5V acima de VMR) e VREF(1,5V abaixo de VMR).

Figura 41 Gerador de referncia

A recomendao do fabricante que sejam utilizados 3 (trs) capacitores, cada um com valor entre 75 e 100nF para filtragem externa. A disposio dos capacitores corresponde aos pinos VREFPC, VMRC e VREFMC da Figura 41.

40 3.2. Interface de configurao O ambiente ABK (Anadigm Boot Kernel) realiza a interface de configurao entre o programa de desenvolvimento e o circuito integrado FPAA. Sua composio bsica um microcontrolador, que realiza a programao do circuito conforme protocolo especfico do fabricante. As configuraes so armazenadas em memria no volteis do tipo EPROM ou FPGA EPROM. O protocolo utilizado SPI ou comunicao serial simples. Ao ser reiniciado o circuito integrado FPAA realiza sua programao transferindo os dados contidos na memria EPROM para sua memria interna do tipo SRAM.

Figura 42 Ambiente ABK

O processo de carga da configurao iniciado aps o circuito integrado FPAA sofrer um reset, a partir dessa condio a configurao primria iniciada. Os dados so lidos da memria EPROM para memria compartilhada SRAM (Shadow SRAM). Com isso o circuito ainda no estar executando a configurao contida na memria compartilhada. Para que o circuito inicie seu funcionamento de acordo com a configurao desejada, necessria a transferncia do contedo da memria compartilhada para a memria de configurao (Configuration SRAM), a qual realizada em apenas um ciclo de relgio.

41

Figura 43 Carga da configurao no FPAA

De acordo com a demanda, pode ser necessrio utilizao de mais circuitos integrados FPAA, neste caso a carga de configurao semelhante. Uma memria EPROM pode ser utilizada para a configurao de um ou mais dispositivos (Figura 43). 3.3. Interface de desenvolvimento A interface de desenvolvimento traz inmeras vantagens, tais como reduo de tempo para implementao, rapidez na alterao de sistemas, etc. Uma grande vantagem desta tecnologia sua capacidade de reprogramao enquanto o circuito est em funcionamento. A interface AnadigmDesigner utilizada para o desenvolvimento do circuito analgico que ser programado no circuito integrado. possvel utilizar componentes como filtros, multiplicadores, somadores, detectores de pico, comparadores, retificadores etc. Todos estes componentes so constitudos em sua essncia por AOPs, portanto cada um deles ir utilizar um nmero determinado de recursos de cada dispositivo. A utilizao dos recursos pode ser visualizada em Resource Panel (Figura 44).

42

Figura 44 Interface de desenvolvimento: AnadigmDesigner2

Alm da interface para utilizao discreta dos componentes, existem tambm duas ferramentas, uma para a criao de filtros e outra para sistemas PIDs, mostradas pelas Figuras 45 e 46, respectivamente. Essas interfaces possibilitam que sejam desenvolvidos sistemas apenas com a passagem de parmetros, o diagrama do circuito criado automaticamente, bastando apenas transferir o diagrama para o AnadigmDesigner.

43

Figura 45 Interface de desenvolvimento: AnadigmFilter

Figura 46 Interface de desenvolvimento: AnadigmPID

44 4. ESPECIFICAO Uma viso geral do sistema mostrada pelo diagrama em blocos da Figura 47. Tal diagrama ser utilizado para descrever as funcionalidades e aplicabilidades que o sistema dispe.

Figura 47 Diagrama em blocos do sistema

Atravs de eletrodos instalados em posies especficas no paciente (triangulo de Einthoven), os sinais de biopotenciais provenientes dos eletrodos foram tratados pelo mdulo FPAA. A aquisio bsica do sinal foi realizada utilizando-se um amplificador de instrumentao INA128, logo em seguida um filtro passa alta. O mdulo FPAA realizou a filtragem do sinal atravs de dois filtros, um corta-faixa e outro passabaixa. O ganho foi ajustado na sada do FPAA, utilizando um amplificador inversor, neste ponto o foi tratado e o mesmo estando pronto para que seja convertido em um sinal digital. Aps o sinal ter sido tratado, o microcontrolador realizou converso analgicodigital do sinal disponvel na sada do FPAA. A freqncia de amostragem utilizada foi de 400Hz, utilizando 8bits para a quantizao do sinal. Cada converso analgico-digital executada pelo microcontrolador foi armazenada no carto de memria MMC. O formato de armazenamento na memria MMC utilizado descrito pela Figura 48.

45
bloco 0 byte 0 ... byte 18 DATA/HORA byte 19 ... Byte 511 dados

bloco 1

byte 0 ... byte 511 dados

... bloco 46 byte 0 ... byte 447 dados byte 448 ... byte 465 DATA/HORA byte 466 ... byte 511 dados

Figura 48 Estrutura de armazenamento MMC

A horrio armazenado foi obtido atravs do circuito integrado RTC que gerenciado pelo microcontrolador. O ajuste do RTC realizado pela interface grfica. A gravao da data e hora ocorre a cada 24 mil amostras, ou seja, a cada 60 segundos, tomado como base a freqncia de amostragem de 400Hz. A interface de instalao permite a visualizao em tempo real dos dados via interface grfica. Aplica-se no momento da instalao do ECG no paciente, onde necessrio ajuste de posicionamento dos eletrodos. Nesta etapa os dados so enviados diretamente para a porta serial, no sendo persistidos na memria MMC. Na etapa final, os dados armazenados na memria MMC so lidos pelo microcontrolador, o qual comunica-se com o microcomputador para a transmisso dos dados. 4.1. Especificao de Hardware 4.1.1. Funes Adquisio de sinais de biopotenciais atravs de eletrodos conectados ao paciente; Realizao das operaes necessrias para a aquisio do sinal atravs do FPAA; Converso de sinais analgicos em dados digitais; Ajuste e aquisio de data e hora de um RTC;

46 Armazenagem de dados em uma memria do tipo MMC; Sistema de ajuste para instalao do HECG no paciente; Realizao da transferncia das informaes para um microcomputador;

4.1.2. Componentes Eletrodos para captura dos sinais de biopotenciais provenientes do paciente; FPAA AN220E04 da Anadigm para aquisio e tratamento do sinal proveniente dos eletrodos; Amplificador de Instrumentao INA128 Regulador de tenso PT5061 Microcontrolador PIC16F876A para controle dos mdulos e converso analgico-digital; Memria MMC 32Mb; Socket para memria MMC; RTC DS1307 da Dallas para aquisio e ajuste de Data/Hora; MAX 232 para comunicao serial com o microcontrolador;

4.1.3 Ambiente de desenvolvimento AnadigmDesigner2 para implementao e configurao do FPAA; CCS para desenvolvimento do firmware do microcontrolador PIC; MPLAB para programao do microcontrolador PIC;

4.1.4. Requisitos O sistema deve ser ajustado quando for instalado no paciente; Os eletrodos devero ser de boa qualidade; A porta paralela do microcomputador deve permitir a utilizao do modo ECP;

47 4.1.5. Restries Tempo total de armazenagem dos dados coletados no dispositivo porttil limitado 24 horas; Taxa de transferncia dos dados coletados para o microcomputador limitada 115.000bps;

4.1.6. Fluxograma

Figura 49 Fluxograma do Firmware

4.2. Especificao de Software 4.2.1. Funes Recuperao dos dados armazenados no HECG; Interface para visualizao dos dados; Interface para instalao do Holter no paciente; Interface para ajuste e visualizao do horrio armazenado no Holter;

48 4.2.2. Ambiente de desenvolvimento Borland Builder C++

4.2.3. Requisitos Microcomputador com processador de clock superior a 1Ghz, 512MB de memria, 1GB de espao livre no disco rgido, 1 porta serial, 1 porta paralela, 1 porta USB; Sistema operacional Windows;

4.2.4. Restries O software no realiza anlise automtica dos dados coletados; A taxa de transferncia entre o dispositivo porttil e o microcomputador ser limitada em 115.000bps; 4.2.5. Fluxograma

Figura 50 Fluxograma do Software

49 4.2.6. Prottipo de tela

Figura 51 Prottipos de tela

50 5. PROJETO O sistema foi constitudo basicamente por dois mdulos, um responsvel pela aquisio dos sinais de biopotenciais e outro responsvel por realizar o armazenamento dos dados e a comunicao com o microcomputador. A Figura 52 exibe um diagrama em blocos simplificado do sistema, sendo maiores detalhes encontrados na Figura 54.

Figura 52 Diagrama em blocos simplificado

5.1. Projeto de Hardware Para a aquisio dos sinais foram utilizados trs eletrodos de Ag/AgCl (prata/Cloreto de Prata) dos quais, dois foram conectados aos terminais diferenciais do amplificador de instrumentao INA128, e o terceiro conectado ao referencial de terra do circuito. O ganho ajustado para o INA128 foi de 980,3922. G = 1+ 50k 50k = 1+ = 980,3922 Rg 51

Foram utilizados trs filtros, sendo o primeiro um Filtro Passa Alta passivo com o objetivo de eliminar componentes DC. A freqncia de corte utilizada foi de 0,5Hz. Os outros dois filtros foram implementados em FPAA sendo um Filtro Corta Faixa de 60Hz e um Filtro Passa Baixa de 100Hz. A seguir so apresentados os clculos realizados para a construo do Filtro Passa Alta Butterworth de 2 ordem. Ganho = 1 a=1,414214 e b=1

51 K=1 f c = 0,5Hz wo = 2f c = 3,14159


C 1uF

R1 =
R1

[a + a + 8b(K 1) ] C 4 1 = [1,414214 + 1,414214 + 8 1 (1 1) ] 0,314159 1uF = 450k


2 c
2

4b

R2 =

wc C R1 K R1 1 450k = R3 = = K 1 11 R4 = 0

1 = 225k 3,14159 1uF 2 450k


2

A Figura 53 exibe, atravs de simulao, os resultados obtidos utilizando os valores calculados para o Filtro Passa Alta. Para esta simulao foi utilizado o software Tina-TI da Texas Instruments.

Figura 53 Simulao do Filtro Passa Alta

52

Figura 54 Diagrama em blocos detalhado

As Figuras 55 e 56 exibem os grficos de resposta em freqncia obtidos para os filtros implementados em FPAA. Para sua construo foi utilizado o utilitrio AnadigmFilter, o qual pode ser visualizado pela Figura 45. A Figura 55 (b) exibe maiores detalhes da regio de corte do Filtro Corta Faixa de 60Hz apresentado pela Figura 55 (a). A linha em verde escuro representa a resposta em freqncia desejada, e a linha em verde claro representa a reposta em freqncia que ser realizada pelo circuito.

(a)

53

(b) Figura 55 Filtro Corta Faixa de 60Hz

A resposta em freqncia obtida para o Filtro Passa Baixa de 100Hz apresentada pela Figura 56.

Figura 56 Filtro Passa Baixa de 100Hz

Os esquemticos gerados pelos filtros implementados em FPAA so exibidos pela Figura 57, onde (a) representa o Filtro Corta Faixa e (b) o Filtro Passa Baixa.

54

(a)

(b)

Figura 57 Esquemtico da implementao em FPAA

A freqncia de amostragem utilizada foi de 400Hz, utilizando-se 8 bits de resoluo para a representao do sinal. Cada amostra armazenada no carto de memria MMC em blocos de 512 bytes, tal gravao executada pelo microcontrolador que utiliza o protocolo e interface SPI para comunicao com o carto de memria. A cada 1 minuto, uma data de referncia armazenada no incio do bloco. Tal data obtida pelo microcontrolador que comunica-se pelo protocolo I2C com o RTC. 5.1.1. Sinais de Interface As Tabelas 5.1 e 5.2 relacionam os sinais de interface dos mdulos do sistema. A Tabela 5.3 contm os sinais internos do mdulo do microcontrolador.
Tabela 5.1 Sinais de Interface do mdulo de Aquisio

Sinal EL1 EL2 EL3 SA0

Tipo Analgico Analgico Analgico Analgico

Direo Entrada Entrada Entrada Sada Eletrodo 1 Eletrodo 2

Descrio

Eletrodo 3 (terra) Sinal tratado pronto para converso A/D

55
Tabela 5.2 Sinais de Interface do mdulo do Microcontrolador

Sinal SA0 TX RX

Tipo Analgico Digital Digital

Direo Entrada Sada Sada

Descrio Sinal a ser convertido para sinal digital Linha de transmisso de dados para PC Linha de recebimento de dados do PC

Tabela 5.3 Sinais internos do mdulo do Microcontrolador

Componente

Sinal

Tipo Digital Digital Analgico Digital Digital Digital Digital Digital Digital

Descrio Linha de transmisso serial Linha de recebimento serial Entrada sinal analgico Pino ativao do dispositivo (SPI) Linha de recebimento de dados (SPI) Linha de transmisso de dados (SPI) Linha de sinal de sincronismo (SPI) Linha de sinal de sincronismo (I2C) Linha de dados bidirecional (I2C)

Microcontrolador RC6 Microcontrolador RC7 Microcontrolador AN0 Carto MMC Carto MMC Carto MMC Carto MMC RTC RTC /CS DIN DOUT CLK SCL SDA

5.1.2. Caractersticas do sinal O sinal a ser adquirido possu amplitude variando entre 1 e 4mV com freqncias de 0,05 e 300Hz. Tal faixa de freqncia caracterstica de Eletrocardiogramas de alta resoluo. Neste trabalho a faixa de freqncia utilizada ser de 0,5 a 100Hz. 5.2. Projeto de Software 5.2.1. Diagrama de Casos de Uso O diagrama de Casos de Uso ilustra as possveis interaes do usurio com o sistema. Na Figura 58 exibido tal diagrama.

56

Figura 58 Diagrama de Casos de Uso

5.2.2. Diagrama de Classes

Figura 59 Diagrama de Classes

57 5.2.3. Diagramas de Sequncia

Figura 60 Diagrama de seqncia Ajustar Horrio

Figura 61 Diagrama de seqncia Visualizar Horrio

Figura 62 Diagrama de seqncia Abrir Arquivo

58

Figura 63 Diagrama de seqncia Capturar Arquivo

Figura 64 Diagrama de seqncia Desenhar Grfico

59 6. RESULTADOS Para a realizao de testes do Holter foi utilizada como base a Derivao I, em apenas um indivduo. Duas marcas de eletrodos descartveis foram utilizadas para os testes, sendo elas: Maxicor e 3M, sendo ambos os eletrodos compostos por prata/cloreto de prata (Ag/AgCl) . Dentre estas duas marcas, os eletrodos Maxicor tiveram melhores resultados. Considerando que os eletrodos do fabricante 3M estavam com as condies de validade expiradas, estes tambm tiveram resultados aceitveis. Inicialmente, para a eliminao das componentes DC que compem o sinal de ECG, foi utilizado um filtro passa alta passivo de 1 ordem. Notou-se certa ineficincia deste filtro, pois ocorriam pequenas flutuaes do ECG. Por isso, este filtro foi substitudo por um filtro passa alta ativo de 2 Ordem Butterworth, o qual teve melhores resultados quanto a estabilidade do sinal de ECG. Aps o sinal ter sido capturado pelo Amplificador de Instrumentao e ter sido filtrado por freqncia superiores a 0,5Hz, este sinal foi submetido a entrada do FPAA, o qual foi projetado para desempenhar duas funes:

Filtro Corta Faixa Butterworth com freqncia de corte igual a 60Hz e fator de qualidade igual a 10. Filtro Passa Baixa Butterworth 4 Ordem com freqncia de corte igual a 100Hz.

Para o acoplamento do FPAA, foram utilizados dois Amplificadores Operacionais, de acordo com as recomendaes do fabricante Anadigm, obtidos atravs do documento Interfacing Analog Signals to the Anadigmvortex FPAA Devices. O diagrama para o acoplamento de entrada do FPAA exibido pela Figura 65, e o acoplamento de sada do FPAA e exibido pela Figura 66.

Figura 65 Acoplamento FPAA Entrada

60

Figura 66 Acoplamento FPAA Sada

Os resultados obtidos pela filtragem do sinal atravs do FPAA AN220E04 so exibidos pelas Figuras 67 e 68. O sinal superior corresponde ao sinal na entrada do FPAA, e o sinal inferior corresponde a sada do FPAA. Percebe-se no sinal superior (Figura 67) a existncia de um rudo significativo, este rudo composto por duas freqncias, 60Hz com maior amplitude, e outra de 120Hz com menor amplitude. O sinal resultante, aps ter sido filtrado pelo FPAA, mostrado pelo sinal inferior (Figura 67).

Figura 67 Rudo Composto por 60 e 120Hz

A Figura 68 exibe o sinal resultante na sada do FPAA quando o sinal de ECG esta composto por um rudo especifico de 60Hz.

61

Figura 68 Rudo de 60Hz

A Figura 69 exibe o sinal resultante aps efetuado todo tratamento.

Figura 69 Sinal ECG Tratado

62 No ltimo estgio, foram realizados os ajustes de ganho e grampeamento do sinal. A Figura 70 mostra um ECG obtido atravs de um ganho ajustado para 500, j as Figuras 71 e 72 exibem um ECG obtido atravs de uma ganho de 1000 e 2000, respectivamente.

Figura 70 ECG com Ganho 500

Figura 71 ECG com Ganho 1000

63

Figura 72 ECG com Ganho 2000

A tenso de referncia para o circuito grampeador foi obtida atravs de uma fonte de tenso de referncia disponibilizada pelo FPAA. A tenso de referncia tendo o valor de 1,5V. Aps o sinal ter sido grampeado foi submetido para a converso A/D do microcontrolador. Como o aplicativo de visualizao dispe uma interface para acompanhamento do ECG em tempo real, esta interface foi utilizada para validar a converso A/D efetuada pelo microcontrolador. O protocolo de controle, responsvel pela interface entre o microcontrolador e o microcomputador funcionou corretamente, no trazendo nenhum problema para a utilizao do Holter. O tempo para implementao do cdigo para aquisio e ajuste de horrio do RTC atravs do microcontrolador foi menor do que o previsto. No entanto, o tempo necessrio para manipulao do carto de memria MMC foi relativamente alto. A utilizao deste carto de memria difere-se das memrias RAM convencionais, sendo necessria a utilizao do protocolo especifico para iniciar, ler e escrever no carto de memria. Nota-se tambm na Figura 73, atravs do grfico amplitude X freqncia, a existncia de um rudo de 120Hz.

64

Figura 73 ECG com Ganho 2000 e Rudo de 120Hz

No optou-se em utilizar formatos como o FAT e NTFS porque o carto foi utilizado apenas para armazenamento interno de dados do Holter. A transferncia de dados contidos no Holter foi realizada conectando-se o Holter no microcomputador, no necessitando a retirada do carto de memria para leitura. A utilizao do bootloader para a programao do microcontrolador, trouxe grandes benefcios, reduzindo tempo para desenvolvimento do firmware. Aps a validao do sistema foi realizado um teste de monitoramento por aproximadamente 30 minutos. O arquivo capturado do Holter teve aproximadamente 700KB.

Figura 74 Horrio do Holter

65 A Figura 74 exibe a interface para o ajuste e visualizao da data e hora armazenada no RTC. A placa do Holter foi confeccionada pelo processo de corroso. O prottipo de hardware do Holter pode ser visualizado pela Figura 75. O FPAA AN220E04 esta localizado no canto superior direito (Figura 75).

Figura 75 - Prottipo do Holter

66 7. CONCLUSES Encontra-se nesta nova tecnologia FPAA, uma grande facilidade e agilidade no desenvolvimento de sistemas que utilizem como base os Amplificadores Operacionais. Neste projeto foram utilizados recursos bsicos do dispositivo, sem explorar a plena diversidade de configuraes deste. A implementao dos dois filtros no FPAA, utilizou todos os recursos internos do dispositivo FPAA; encontrou-se neste ponto certa limitao pelo dispositivo. O recurso de re-configurao do dispositivo no foi utilizado, pois no se aplicava ao projeto. Este recurso possibilita que o dispositivo seja re-configurado dinamicamente enquanto em operao, e tal re-configurao pode ser total ou parcial. A configurao enviada ao dispositivo pela interface de programao enquanto o mesmo encontra-se ativo e operante. Isto se tornaria til em aplicaes onde a topologia do circuito necessitasse de alteraes em funo de alguma varivel. Algumas dificuldades foram encontradas para a programao do dispositivo, a qual necessitou de uma memria EPROM externa. Neste ponto fez-se necessrio a utilizao de outros circuitos e softwares para a programao desta memria EPROM. A utilizao de vrios componentes SMD contribuiu para a confeco de um prottipo com bom aspecto fsico, prximo a produtos comerciais disponveis no mercado. Alguns blocos do projeto deveriam ser melhorados e acrescentados, a fim de tornar este um produto comercial. No entanto, estas deficincias no fizeram com que o trabalho deixa-se de atender seus objetivos com resultados satisfatrios. Em termos didticos, foram obtidos grandes conhecimentos na utilizao desta tecnologia na construo do um Holter de ECG. Porm uma outra soluo para este problema poderia ser a utilizao de DSPs.

67 8. REFERNCIAS BIBLIOGRFICAS ANADIGM. AN221E04: Field Programmable Analog Arrays - User Manual http://www.anadigm.com/_doc/UM021200-U007.pdf. Consultado em 01/04/2006. DALLAS SEMICONDUCTOR. MAXIM DS1390: Low-Voltage SPI/3-Wire RTCs withTrickle Charger http://pdfserv.maxim-ic.com/en/ds/DS1390-DS1393.pdf. Consultado em 01/04/2006. FRANCO, SERGIO. Design With Operational Amplifiers and Analog Integrated Circuits. Third Edition. Editora McGraw-Hill. New York, 2002. MCT. SPI - Serial Peripheral Interface http://www.mct.net/faq/spi.html. Consultado em 01/04/2006. MICROCHIP. PIC16C717/770/771: 8-bit CMOS Microcontrollers with 10/12-bit A/D http://ww1.microchip.com/downloads/en/DeviceDoc/41120b.pdf. Consultado em 01/04/2006. PERTENCE JR, Antonio Amplificadores Operacionais e Filtros Ativo, 6 Edio. Editora Bookman. SP, 2003. SANDISK. MultiMediaCard Product Manual http://www.sandisk.com/Assets/File/OEM/Manuals/manual-rs-mmcv1.0.pdf. Consultado em 01/04/2006. TEXAS INSTRUMENTS. Biophysical Monitoring: Electrocardiogram (ECG) Front End http://focus.ti.com/docs/apps/catalog/general/applications.jhtml?templateId=6032 &path=templatedata/cm/general/data/medical_biophysical_ecg. Consultado em 02/04/2006. WEBSTER, JOHN G. Medical Instrumentation: Application and Design. New York: J. Wiley & Sons, 1998.

68 http://www.virtual.epm.br/material/tis/curr-bio/trab2003/g5/fibra6.html http://www.ccs.uel.br/medicina/pbl/cardio/capitulo6.asp

72 ANEXO 2 LISTA DE COMPONENTES Item 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 Descrio Microcontrolador PIC16F876A FPAA AN220E04 Amplificador de Instrumentao INA128 Regulador de Tenso PT5061 Regulador de Tenso LM7805 Regulador de Tenso UA78M33 RTC DS1307 Carto de memria MMC de 32Mb Conector para carto de memria MMC Dispositivo de Interface Serial MAX232 Conector DB9 fmea Cristal 32.768Mhz Cristal 20 Mhz Amplificador Operacional TL084C Bateria 9V Diodo 1N4001 Capacitores 1uF Capacitores 10uF Capacitores 100uF Capacitores 33pF Resistor de 51 Resistores de 470 Resistores de 1K Resistores de 2,2K Resistores de 10K Resistores de 22K Resistores de 47K Resistor de 220K Resistor de 430K Resistor de 20K Memria Serial EPROM 25LC160 Quantidade 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 4 6 10 2 1 3 11 3 15 1 10 1 1 1 1

73 ANEXO 3 REGISTRADORES RTC

74 ANEXO 4 PINAGEM DO FPAA AN220E04

75 ANEXO 5 CRONOGRAMA

76 ANEXO 6 ESTUDO DE VIABILIDADE TCNICO-ECONMICA

Descrio *Circuito Integrado FPAA AN221E04 *Kit Desenvolvimento AN221K04 Amplificador de Instrumentao Regulador Tenso PT5061 Microcontrolador Memria Socket Memria RTC Outros Componentes Eletrodos Horas de Trabalho
*Considerando US$ 1 = R$ 2,5 + 25% impostos

Quantidade Custo Unitrio 4 1 1 1 1 1 1 1 1 10 600 R$ R$ R$ R$ R$ R$ R$ R$ R$ R$ R$ 37,50 622,00 30,00 70,00 40,00 100,00 40,00 10,00 20,00 5,00 15,00

Total Parcial R$ R$ R$ R$ R$ R$ R$ R$ R$ R$ R$ 150,00 622,00 30,00 70,00 40,00 100,00 40,00 10,00 20,00 50,00 9.000,00

TOTAL GERAL

R$ 10.132,00

Você também pode gostar