Você está na página 1de 68

[Analógico •Digital •Microcontroladores & Sistemas •Áudio •Medição & Teste]

R$ 15,90 - Ano 7 - Mensal - EDIÇÃO BRASILEIRA - N.º 96


Novembro 2009
Março 2010

www.elektorbrasil.com.br
www.elektorbrasil.com.br

Pré-divisor para
Receptor
de rádio SDR
Upgrade para sintonia
automática

Protocolos abertos para


automação doméstica
Padrões comparados:
X10, KNX e DigitalSTORM
95
ISSN 1676-790X

9 771676 790007

Circuito de monitoração para bateria


Tudo sob controle

Capa_96_BR.indd 1 12/02/10 18:33


2 Capa.indd 3 12/02/10 18:31
Março 2010
GERENTE GERAL
n.º 96
Benedito Gonçalves
EDITOR INTERNACIONAL
João Martins
PUBLISHER
Victor Hugo Visval Piiroja – Tel./fax: (11) 4195-0363
victor.piiroja@editorialbolina.com
EDITOR
Fernando Gaio fernando.gaio@editorialbolina.com
DIRETOR TÉCNICO
Eng. Marcos Paulo de Souza Silva
marcos.paulo@editorialbolina.com
DIRETOR DE ARTE
Flávio Bissolotti flavio.bissoltti@editorialbolina.com
ARTE

Indice
Milton Oliveira milton.oliveira@editorialbolina.com
Wesley Costa wesley.costa@editorialbolina.com
PUBLICIDADE
Luciano Itamar – Tel./fax: (11) 4195-0363
luciano.itamar@editorialbolina.com
JORNALISTA RESPONSÁVEL
Fernando Gaio (MTB 32.960) HARDWARE & SOFTWARE
PRODUÇÃO GRÁFICA
Márcio Reginaldo marcio.reginaldo@editorialbolina.com
58 TinyBrick M16C
Rafael Gomes rafael.gomes@editorialbolina.com
MARKETING E INTERNET
Evelyne Guimarães evelyne.guimaraes@editorialbolina.com
36 Introdução ao C embarcado (III)
IMPRESSÃO
Van Moorsel MONTAGEM
Distribuição Nacional pela DINAP S/A
Distribuidora Nacional de Publicações 44 Medidor capacitivo para
EDITORIAL BOLINA BRASIL LTDA.
nível de líquido
Alamenda Pucuruí, 51-59 – Bl. B - 1º Andar

ÁUDIO & VÍDEO


Conjunto 1030 - Tamboré, Barueri, SP
CEP 06460-100 – Telefax (11) 4195-0363

41 Potência em ponto pequeno(I)


MEDIÇÃO E TESTE
Brasil - Espanha – Portugal
www.editorialbolina.com 22 Circuito de monitoração
Fundador: António Bento para bateria
Administração: Jorge Bento e Helder Lemos
International Controller: Pedro Lemos

PORTUGAL
52 Gerador de sinais senoidais
Bolina Portugal, Lda.
Tel.: +351 21 413-1600 - Fax.: +351 21 413-1601 com lógica programável
Ed. Central Park - R. Alexandre Herculano, 3 - 3º B
2795-240 Linda-a-Velha - Portugal
PASSATEMPO E MODELISMO
ESPANHA
Editorial Bolina, S.L.
Rufino González, 13 - 4ª Planta - 28037 Madri
30 Dispositivo de monitorização
Tel. +34 91 327 07 02 – Fax +34 91 754 26 68 AC portátil
DIREITOS DE REPRODUÇÃO
©Elektor International Media BV, 2010
The Elektor House, Allee 1, Limbricht, the Netherlands IDEIAS PARA PROJETO
Tel +31 46 4389444
Fax +31 46 4370161 29 Proteção para reguladores
A revista Elektor Eletrônica & Microinformática também de tensão
possui edições na Holanda, Alemanha, França, Inglaterra,

E-LABS
Suécia, Finlândia, Grécia, Índia, Portugal, Polônia e Espanha.

ASSINATURAS E NÚMEROS ATRASADOS


Editorial Bolina Brasil Ltda.
Alameda Pucuruí, 51-59 – Bl.B Conj.1030
51 Um celular muito barato
Tamboré, Barueri, SP
CEP 06460-100 – Telefax (11) 4195-8591
66 Kit PSoC com módulo RF
assinaturas@editorialbolina.com

PROPRIEDADES E DIREITOS RÁDIO & TV


10 Pré-divisor para receptor
Os editores farão todos os esforços para que o material mante-
nha total fidelidade ao original e não poderão ser responsabili-
zados por erros gráficos surgidos.
As opiniões expressas em artigos assinados não correspondem
necessariamente às opiniões dos editores. de rádio SDR
Todos os desenhos, fotografias, layouts de circuitos impressos
e textos publicados são propriedade da Segment B.V. e não
podem ser reproduzidos e transmitidos por qualquer meio,
seja qual for o motivo, incluindo fotocópias e escaneamentos,
DOMÉSTICO
16 Protocolos abertos para
integralmente ou em partes, sem a permissão por escrito dos
editores.

QUESTÕES TÉCNICAS
Nós podemos responder apenas a questões relativas a proje-
automação doméstica
tos publicados há, no máximo dois anos, na Elektor Eletrônica
& Microinformática. Todas as dúvidas, questões e sugestões
devem ser encaminhadas ao Diretor Técnico da revista.
Para a compra de componentes e kits consulte o site
www.elektorbrasil.com.br. Apenas o componentes de projetos
publicados nos últimos dois anos são mantidos em estoque.

elektor 03-2010 3

indice.indd 3 12/02/10 20:19


NOTÍCIAS

Xilinx nomeia Authorized National Instruments adiciona recursos de visão ao


Training Provider na NI CompactRIO e ao NI Single-Board RIO
América do Sul A empresa anunciou a implementação dos recursos de visão de máquina ao NI CompactRIO e ao
NI Single-Board RIO, que propiciam aos engenheiros a integração de
A empresa anunciou a expansão de seu pro- medição e controle para sistemas industriais embarcados. Com esta solução integrada, o Com-
grama de Authorized Training Provider (ATP) pactRIO torna-se um dos únicos controladores programáveis para automação (PACs) no mercado
para a América do Sul com a inclusão da Ana- a realizar tarefas de visão, proporcionando mais eficiência, com um menor impacto físico e menos
com no Brasil. A empresa se une a uma rede complexidade do sistema.
global de 28 Authorized Training Provider Com o recurso de visão de máquina, os engenheiros podem usar o CompactRIO e o Single-Board
na África e no Oriente Médio, Ásia-Pacífico, RIO em uma variedade de aplicações de medição e controle. É a solução ideal para aplicações de
Américas, Europa e Japão. robótica, como evitar obstáculos, e para o reconhecimento de padrões, além de mapeamento e
“Estamos muito felizes em receber a Anacom localização simultâneos (SLAM), onde a funcionalidade de controle de visão avançada é necessá-
como nossa primeira fornecedora de treina- ria. Aplicações de vigilância industrial incluem tanto o acompanhamento do estado da máquina
mento no Brasil, estendendo a presença e o quanto à monitoração de reservatórios críticos, onde a conectividade com câmeras infraverme-
compromisso da Xilinx para esse mercado lho é vital. Finalmente, o sistema operacional real time e a pastilha FPGA (Field Programmable
emergente”, disse o Diretor de Vendas da Gate Array) integrada usados no CompactRIO e no NI Single-Board RIO facilitam o processo de
Xilinx para a América do Sul, Greg Cole. “Com validação FDA para dispositivos médicos embarcados.
nossas últimas plataformas de desenvolvi- Sistemas de visão de máquina são compostos por duas partes: a aquisição de imagens e proces-
mento baseadas em Virtex-6 e Spartan-6, a samento de imagem. Para adquirir as imagens, a gama de software para aquisição de imagens da
Xilinx continua a estimular inovação com os NI se expandiu para o protocolo Internet (IP) de câmeras, incluindo a Basler Vision Technologies.
clientes brasileiros nos mercados de comu- Além do suporte nativo de câmera IP, a National Instruments Alliance Partner moviMED lançou a
nicação, médicos e industriais. A inclusão de placa de captura analógica AF-1501 para produtos NI Série C, que pode adquirir imagens mono-
um fornecedor de treinamento de alto calibre cromáticas. Para processar imagens, os engenheiros agora podem programar e implantar biblio-
como a Anacom incitará, ainda mais as ativi- tecas de processamento de imagem para o CompactRIO e o Single-Board RIO com o ambiente
dades de design na América do Sul.” gráfico de desenvolvimento NI LabVIEW 2009, utilizando o Módulo NI Vision Development 2009,
“Estamos muito orgulhosos por termos sido que contém centenas de funções de processamento de imagem e visão de máquina.
selecionados pela Xilinx como Authorized “No nosso departamento Global de Desenvolvimento e Tecnologia, estamos sempre procurando
Training Provider (ATP), seja pela qualidade otimizar o tamanho, velocidade e confiabilidade das máquinas de nossa produção”, disse Ben Enge-
excepcional dos produtos e serviços ofere- len, engenheiro sênior de projetos de visão e medição da Philips GTD Mechanization”. A plataforma
cidos pela Xilinx, bem como pelo excelente CompactRIO fornece em alta velocidade o determinismo que precisamos para um eficiente e pre-
reconhecimento da sua marca em todo o ciso posicionamento de componentes. O novo módulo Movimed AF-1501 de captura de vídeo nos
mundo”, comentou o Diretor Presidente ajuda a integrar o feedback de vídeo com a mesma plataforma que automatiza todo o processo. “
da Anacom, Carlos Eugênio Lion. “Neste Os engenheiros podem adicionar recursos de visão para o CompactRIO e para os controladores NI
momento, em que a microeletrônica ganha Single-Board RIO: NI cRIO-901x, NI cRIO-902x e 907x cRIO-NI e NI-96xx para sistemas embarcados.
mais importância no Brasil, a Anacom reforça http://zone.ni.com/devzone/cda/tut/p/id/10867
o comprometimento com a indústria brasi-
leira, oferecendo o que há de melhor em trei-
namentos na área de FPGAs.”
O programa ATP complementa o currículo de
Driver para LEDs simples
Serviços de Educação da Xilinx, oferecendo O DLD101, desenhado especialmente para apli-
aos clientes uma rede de fornecedores locais cações de iluminação, consegue controlar até
certificados para ministrar treinamento de 1A de corrente.
alta qualidade em design de lógica progra- Trata-se de um integrado com um transistor
mável e system level. O programa fornece MOS, de canal N, e um transistor bipolar NPN
aos clientes da Xilinx assistência e instruções polarizado (inclui uma ligação direta com a
valiosas para a maximização do potencial base, ou alternativamente, através de uma
das mais recentes plataformas de desenvol- resistência). O circuito integrado pode ser con-
vimento baseadas em Virtex-6 e Spartan-6. figurado de várias maneiras, sendo muito ver-
sátil e capaz de substituir vários componentes
discretos em diversas aplicações. A modulação
da intensidade dos LEDs pode ser controlada através de um sinal PWM gerado por um microcon-
trolador, ou por um simples circuito oscilador baseado num 555.
O transistor MOS permite controlar uma corrente de passagem até 1A e suporta tensões de
entrada até 50 V. A resistência de condução é inferior a 1 Ohm.

4 03-2010 elektor

noticias.indd 4 12/02/10 18:35


ao

tRIO e ao

, o Com-
mercado
e menos

le-Board
ações de
mento e
necessá-
máquina
averme-
mmable
cesso de

e proces-
agens da
nologies.
lançou a
ns mono-
ar biblio-
mbiente
nt 2009,

ocurando
en Enge-
ataforma
nte e pre-
vídeo nos
ocesso. “
adores NI
arcados.
d/10867

microcon-

nsões de

elektor

noticias.indd 5 12/02/10 18:35


NOTÍCIAS

Modem para PSoC de elevada performance


redes móveis com
cancelamento de
interferências
A Icera, fabricante que desenvolve, entre
outros produtos, modems para redes de
banda larga, apresentou a tecnologia IceClear,
para receptores de redes de banda larga.
O IceClear tem algoritmos de processa-
mento de sinal que conseguem cancelar a
interferência causada por outras células,
permitindo aumentar a velocidade em cerca
de três vezes nas situações em que ocorrem
essas interferências.
Esta tecnologia permite otimizar a capaci-
dade das redes e, embora já fosse uma téc-
nica conhecida há algum tempo, ainda não
tinha sido implementada em silício com um
custo aceitável.
A performance das redes móveis degrada-
se quando o usuário se encontra na área O novo CY8C28xxx permite desenvolver aplicações mixed-signal, sensores e sistemas com inter-
de transição entre células, devido não só à face por toque mais avançados. A performance digital também não foi esquecida, o PSoC1 pode
distância a que se encontra dos emissores, ser ligado diretamente a displays LCD e inclui duas interfaces I2C por hardware. Destina-se a uma
como também devido à interferência entre vasta gama de aplicações distintas, como sistemas de controle industrial, eletrônica de consumo,
estes. Alguns testes demonstraram que esta eletrodomésticos ou telecomunicações.
limitação pode significar uma redução de A arquitetura do CY8c28xxx PSoC1 integra até 16 blocos analógicos programáveis e 12 blocos
velocidade para apenas algumas centenas digitais, incluindo um microcontrolador de 8 bits. Suporta até 4 ADCs Sigma-Delta em simultâ-
de kilobits por segundo. A tecnologia Ice- neo, com resolução programável de 6 a 14 bits, que permitem amostrar até 4 entradas analógi-
Clear da Icera permitirá aumentar esta velo- cas a 62 ksps. Ele possui também um ADC por aproximações sucessivas com resolução de 8 ou
cidade por um fator até 3.6. 10 bits/s capaz de funcionar a 192 ksps.
Os barramentos I2C por hardware podem ser utilizados simultaneamente com o resto dos siste-
mas. Inclui ainda uma interface SPI, um relógio em tempo real e um gerador PWM. Estes PSoC
Antenas pequenas estão já em produção estarão disponíveis em diversos encapsulamentos (QFN de 48 pinos, TQFP
de 44 pinos e SSOP de 20 e 28 pinos).
Cientistas da Universidade do Arizona e a
Boeing estão trabalhando com materiais
de estruturas microscópicas complexas para
produzir antenas com radiação até 95% do Conversores DCDC com tensão
sinal de entrada. de isolamento superior a 10 kV
As antenas têm pelo menos metade do
comprimento de onda do sinal irradiado A Recom quebrou a barreira dos 10 kV. Os novos conversores DC/DC, num encapsulamento DIP24,
para funcionar com eficiência elevada. A conseguem um isolamento de 10 kVDC ou 5 kVAC.
300 MHz, por exemplo, a antena terá cerca Na base destes conversores está um novo conceito de transformadores, baseado na tecnologia
de meio metro. As novas antenas conse- Re3-Inforced patenteado. Apesar de serem muito menores que os transformadores convencio-
guem uma eficiência elevada mesmo com nais, possuem três enrolamentos isolados. Não só o tamanho foi reduzido como também a capa-
tamanhos dezenas de vezes inferiores. cidade parasita, que é agora cerca de três vezes inferior, da ordem dos 20 pF. Por isso as correntes
Um dos protótipos tem uma antena dese- de fuga são pequenas o suficiente para poderem ser utilizadas em aplicações médicas.
nhada numa placa de circuito impresso e Os novos conversores possuem uma potência entre 3,5 Watts e 6 Watts, mais 20% do que os
é colocada sobre um plano de um meta- anteriores modelos e com uma eficiência de 86%.
material. Este plano re-irradia as ondas emi- Todos os conversores possuem proteção contra curtos-circuitos e sobrecarga, em conformidade
tidas pela antena, fazendo com que ela se com as especificações EN, CSA e CB. Estão disponíveis com as duas disposições de pinos mais
comporte como uma antena com um com- comuns e podem ser entregues com detector de tensão de entrada e pino de controlo remoto.
primento elétrico muito superior. Estes conversores são indicados para aplicações médicas ou aplicações industriais de alta tensão.

6 03-2010 elektor

noticias.indd 6 12/02/10 18:35


om inter-
oC1 pode
se a uma
onsumo,

12 blocos
simultâ-
analógi-
o de 8 ou

dos siste-
tes PSoC
os, TQFP

to DIP24,

cnologia
nvencio-
m a capa-
correntes

o que os

ormidade
nos mais
remoto.
a tensão.

elektor

noticias.indd 7 12/02/10 18:35


NOTÍCIAS
100

95

Instrutherm lança medidor Plataforma plug-and-play para HDTV 75

de energia solar
25
A Instrutherm lançou o medidor de energia
solar MES-100, que indica o nível de radiação 5

do sol em objetos e ambientes.


0
Utilizado em segmentos como arquitetura,
indústria e agricultura, o aparelho auxilia a
determinar melhores ângulos e a direção
correta dos raios solares em protetores como
toldos, painéis, isolantes térmicos, para-sóis
etc, além de estufas com plantio e outros
locais em que haja necessidade do cálculo da
energia adequada para a realização de qual-
quer atividade.
O MES-100 vem com display de cristal líquido
(LCD) de 3 dígitos e meio, tem escala de até
2.000 W/m². A Xilinx demonstrou um projeto de referência para televisão de alta definição que engloba algo-
ritmos avançados para processamento de vídeo e uma FPGA Spartan de baixo custo.
Especificações técnicas: O projeto foi desenvolvido em conjunto com a Vestek Electronics Research and Development e
- Display de 3 ½ dígitos (indicação máxima permite aos fabricantes de televisões LCD avaliar a qualidade de imagem conseguida com este
de 1999) sistema. O motor de processamento de vídeo desenvolvido pela Vestek garante uma qualidade
- Medição de energia solar de imagem elevada em LCDs e pode ser implementado em FPGAs SPARTAN, proporcionando um
- Ampla escala espectral sistema de baixo custo, com qualidade elevada sem prejudicar o consumo de energia.
- Escala: 2000 W/m², 634Btu/(ft² x h) Os algoritmos da Vestek são já utilizados em larga escala no mercado de LCDs, garantindo ima-
- Resolução: 1W/m², 1Btu/(ft² x h) gens mais vivas, com elevado contraste e detalhe. Estes algoritmos otimizam cada pixel da ima-
- Precisão: Tipicamente ± 10W/m² / ± 3 Btu gem, individualmente, para melhorar qualidade geral da imagem, em vez de atuarem em todos
[ (ft² x h) ] ou ± 5% , o que for maior na luz do mesmo modo.
solar; Erro induzido adicional de temperatura O projeto apresentado pela Xilinx inclui uma interface gráfica para ajustar cada um dos parâme-
de ± 0,38W/m² / °C [± 0,12 Btu / (ft² x h) / tros, de modo a avaliar as diversas funcionalidades do sistema e adquirir uma sensibilidade aos
ºC] a 25ºC vários parâmetros de ajuste em pouco tempo.
- Seleção entre as unidades W/m² ou Btu/(ft²
x h)
- Seleção entre as unidades W/m² ou Btu/(ft² Avião particular... elétrico!
x h)
- Foto-célula especial Uma equipe de desenvolvimento da NASA, em cooperação com o Massachusetts Institute of
- Função de memória de valor máximo, Technology, o Georgia Institute of Technology, o National Institute of Aerospace e o M-DOT Aeros-
mínimo e médio pace, explorou um novo conceito: o Puffin, um “avião” elétrico privado... ou melhor, pessoal!
- Memória de dados: 99 posições O Puffin pode levantar e aterrissar verticalmente, mas em vez de mover apenas os rotores, é
- Tempo de amostragem: Aprox. 0,4 segundo todo o aparelho que roda para a horizontal. Durante a decolagem ou pouso, a cauda do aparelho
- Temperatura e umidade de operação: 0 ºC a separa-se em quatro pernas que servem de trem de pouso.
50 ºC abaixo 80% RH O Puffin mede 3,7 metros de comprimento, 4,1 metros de envergadura e é constituído por fibra
- Temperatura e umidade de armazena- de carbono, pesando apenas 135 Kg, mais 45 Kg de baterias de fosfato de lítio.
gem:-10 °C a 60 °C abaixo de 70% RH Em teoria, o Puffin pode voar a uma velocidade de cruzeiro de 240 km/h, com uma velocidade
- Função Data-hold máxima de 480 km/h. Com a densidade de energia disponibilizada pelas baterias atuais, o seu
- Alimentação: 4 pilhas AAA de 1,5V alcance é de apenas 100 Km. 100
- Dimensões: 111 (C) x 64 (L) x 34 (A) mm Por agora, o Puffin é apenas um conceito, na forma de um vídeo digital produzido pela NASA, mas
- Peso: Aprox. 165g serve para demonstrar como um sistema de transporte aéreo elétrico pessoal poderia alterar 95 o

- Fornecidos: Estojo de transporte, manual de nosso modo de vida. A vantagem da utilização de motores elétricos é que estes são eficientes
75
operações, 4 Pilhas AAA independentemente do seu tamanho, ao contrário dos motores de combustão, que são menos
- Opcional: (Vendido Separadamente): eficientes quanto menores forem. Um avião elétrico seria capaz de gerar um poder de impulsão
Maleta para Transporte Mod.MA-800 e considerável. O Puffin permitiria levantar um indivíduo do chão com um motor de apenas 60
Maleta para Transporte Mod.MA-810 cavalos de potência. 25
www.instrutherm.com.br Fonte: Scientific American
5

8 03-2010 elektor

noticias.indd 8 12/02/10 18:36

EURO_010_09-FEE
sexta-feira, 12 de fe
100 100

95 95

75 75

25 25

5 5

0 0

oba algo-

pment e
com este
ualidade
ando um

ndo ima-
l da ima-
em todos

parâme-
dade aos

titute of
OT Aeros-
essoal!
otores, é
aparelho

por fibra

locidade
ais, o seu
100 100
ASA, mas
alterar
95 o 95

ficientes
75 75
o menos
mpulsão
penas 60
25 25
American
5 5

0 0

elektor

noticias.indd 9 12/02/10 18:36

EURO_010_09-FEEAI_Anuncio_205x285
sexta-feira, 12 de fevereiro de 2010 15:36:28
RÁDIO & TV

Pré-divisor para Receptor díodos


tensão d

de rádio SDR
uma cap
pF até 5
geradas
analógic

Aqui fica o upgrade para a sintonia um barr


tor SDR
faz com

automática! vés do s
uma qu
adiciona
Cada cir
(L1 a L4)
acoplad
dância A
softwar
Recepto
100 nF (
Em alter
antenas
apenas
estas es
assim co
que cad
Além di
do SDR c

Burkhard Kainka (Alemanha) Constr


A monta
O desempenho de um receptor depende, em grande medida, dos seus filtros de entrada. Um circuito
de entrada seletivo melhora a adaptação da antena e a imunidade a interferências provocadas por outros
sinais fortes. O pré-divisor descrito neste artigo permite utilizar até quatro filtros, sintonizados através
do controle de um software usando diodos varicap. É descrita ainda uma antena em loop sintonizada
K1
que permite usar o Receptor SDR da Elektor sem recorrer a uma antena externa.

+12V

Após alguns anos a sua publicação, o Rádio como In1 pelo software), um filtro passa- antenas de ferrite ou circuitos front-end.
de Elevada Qualidade da Elektor [1] é ainda baixa para recepção de onda-média (A1, As entradas têm uma alta impedância
um projeto com enorme sucesso, e aqui ou In2), e um filtro passa-alta para recep- de entrada, podendo assim ser também
na Elektor ficamos muito satisfeitos com ção de onda-curta (A2, ou IN3). A entra- usadas para ligar uma antena magnética
os comentários da comunidade radioama- em loop sintonizada, como se mostra nos
dora do tipo “um bom projeto para gastar Características técnicas exemplos da Figura 1. O problema quando
o nosso dinheiro” e “um projeto excelen- •Quatro circuitos front-end sintonizáveis. se usa estes circuitos com um rádio contro-
te para entrar na tecnologia SDR”. A publi- •Controlado pelo software gratuito SDR. lado por software é que os capacitores vari-
cação do hardware para o receptor SDR •Controlado através do barramento I2C. áveis têm que ser ajustados manualmen-
foi também seguida por um software de •Recepção de ondas-curtas, ondas-médias te, o que pode ser bastante cansativo. Por
controle e sintonia G8JCFSDR. e ondas-longas. esta razão desenvolvemos um pré-divisor
O SDR cobre praticamente toda a faixa •Díodos varicap de 500 pF. sintonizado automaticamente e controla-
de frequências de rádio até 30 MHz. No •Adequado para utilização com uma antena do via barramento I2C, usando díodos vari-
projeto, é usado um 74HC4051 como de fio, uma antena ou uma vara de ferrite. cap (com capacidade variável).
multiplexador de entrada, oferecendo um
total de oito entradas (A0 a A7). Através da A7 é usada para uma entrada de teste Quatro circuitos de entrada
de controle por software é possível usar de 5 MHz. Existem, assim, quatro entra- (front-end)
o multiplexador para comutar entre uma das disponíveis para o multiplexador que O circuito pré-divisor (Figura 2) supor-
entrada de banda larga (A0, apresentada podem ser usadas, por exemplo, para ligar ta até quatro circuitos front-end usando

10 03-2010 elektor elektor

090615_pre_divisor_receptor.indd 10 12/02/10 18:36


díodos varicap 1SV149. Dependendo da
tensão de sintonia, estes dispositivos têm
uma capacidade que varia desde cerca 20
pF até 500 pF. As tensões de sintonia são
geradas usando um conversor digital/
analógico PCF8591, controlado através de
um barramento I2C. Uma vez que o Recep-
tor SDR já possui um barramento I2C, isto
faz com que o controle do pré-divisor atra-
vés do software de sintonia seja apenas
uma questão de adicionar duas ligações
adicionais (SDA e SCL). Figura 1. Circuitos de entrada (front-end) simples, sintonizados através de capacitores
variáveis manualmente, adequado para ligação direta à placa SDR.
Cada circuito front-end utiliza uma bobina
(L1 a L4) com quatro ligações. Cada saída é
acoplada a uma das entradas de alta impe- so (Figura 3) deve ser muito simples. Em através da saída OUT3, construa L3 com 40
dância A3 a A6 (referidas como In4 a In7 no primeiro lugar monte todos os semicondu- espiras entre 3E1 e 3A1, mais uma antena
software) do multiplexador de entrada do tores, resistências e capacitores, mas por de acoplamento enrolada com 2 voltas
Receptor SDR através de um capacitor de enquanto deixe as bobinas para depois. A entre 3E2 e 3A2; para recepcionar a faixa
100 nF (C3 a C6). placa de circuito impresso está disponível de onda-curta de 4,5 MHz até 16 MHz atra-
Em alternativa, podem também ser usadas através do Serviço Elektor já parcialmen- vés da saída OUT4, enrole 15 espiras em
antenas de ferrite ou antenas em loop com te populada neste estado: a placa vem L4 entre 4E1 e 4A1, mais uma antena de
apenas duas ligações. Os detalhes para com quatro formas para bobinas mais um acoplamento com 1 volta entre 4E2 e 4A2.
estas escolhas são deixados para o leitor, pequeno núcleo de ferrite com as bobinas A pequena barra de ferrite com 10 mm
assim como a escolha de quais frequências já enroladas, prontas para utilizar na recep- por 90 mm é usada para cobrir as frequên-
que cada canal do pré-divisor vai trabalhar. ção de sinais de onda-longa e onda-média. cias mais baixas: as bobinas para MW
Além disso, as entradas A0 a A2 (In1 a In3) Pode enrolar as outras bobinas de modo a e LW podem ser montadas na mesma
do SDR continuam disponíveis para utilizar. ajustarem-se a outras faixas de frequên- haste. Pode utilizar as bobinas já monta-
cias que pretenda usar. Aqui ficam duas das disponibilizadas no kit, ou produzir
Construção sugestões: para recepcionar a banda de (enrolar) as suas próprias bobinas usando
A montagem da placa de circuito impres- onda-curta que vai de 2,2 MHz até 8 MHz fio de litz ou fio de cobre esmaltada com
o
utros +12V +12V

vés D1 IC1
1N4001 78L05 +5V
a
K1
6 8
C1 C2
7
IC2.B IC2 IC2 = LM358N
5
100n 100n 4
+12V
GND
ont-end.
edância C9
ambém OUT1 OUT2 OUT3 OUT4

gnética 100n
16
stra nos VDD
R3 C3 C4 C5 C6
11 14 100k
quando SDA OSC VREF
100n 100n 100n 100n
contro- 9 IC3 15 3
SDA AOUT R1
res vari- SCL
10
SCL C8 IC2.A
1
100k
2 D2 D3 D4 D5
ualmen- 4 100n
tivo. Por 3
AIN3
7
AIN2 A2 L1 L2 L3 L4
-divisor 2
AIN1 A1
6
1A1 1A2 2A1 2A2 3A1 3A2 4A1 4A2
1 5 R2
ontrola- AIN0 A0 C7
100k

PCF8591
dos vari- 12
EXT 100n
1E1 1E2 2E1 2E2 3E1 3E2 4E1 4E2
VSS AGND
8 13

D2 ... D5 = 1SV149 090615 - 11

) supor-
usando Figura 2. Circuito do pré-divisor digital com quatro front-ends sintonizáveis através de um barramento I2C.

elektor elektor 03-2010 11

090615_pre_divisor_receptor.indd 11 12/02/10 18:36


RÁDIO & TV

Lista de componentes dos 49 m


Resistências: PCI (Ref.ª 090615-1). alguns componentes, formas para as Agora, d
R1;R2;R3= 100 kΩ Kit de componentes (Refª 090615-71), bobinas, hastes de ferrite com bobinas.
à placa d
com a placa previamente populada com
Capacitores: da In4 (s
C1;C2;C3;C4;C5;C6;C7;C8;C9= 100 nF, C9
(c) Elektor Ajuste a

GND
SDA

SCL

+5V
cerâmico 090615-1 -divisor
V1.0

IC2
máximo

IC3
C8

C2
IC1
Semicondutores: R3
forte aq

C1
D1

(c) Elektor
090615-1
R2

C7
R1
IC1= 78L05 K1 larga, u
IC2= LM358N

D2

D3

D4

D5
sintoniz

OUT1

OUT2

OUT3

OUT4
IC3= PCF8591P
D1= 1N4001 C3 C4 C5 C6
tação à
L1 L2 L3 L4
D2a D5= 1SV149 (www.ak-modul-bus.de) ravelme

1E1

1E2

1A2

1A1

2E1

2E2

2A2

2A1

3E1

3E2

3A2

3A1

4E1

4E2

4A2

4A1
bandas
Diversos: Do mes
4 bobinas numa forma do tipo T1.4 Figura 3. Placa de circuito impresso para o pré-divisor. Todas as ligações
de onda
(www.ak-modul-bus.de). para as bobinas encontram-se numa das extremidades da placa.
Adaptador DC tipo NEB 21 R (Lumberg).
ma com
nar esta
onda-cu
0,2 mm. Neste caso não é necessário um ligação da alimentação de +5 V entre R4 do pré-divisor deve ser omitida por agora: de band
elevado fator Q, uma vez que será usado e a placa SDR e o ponto de +5 V na placa consulte a secção sobre a fonte de alimen- ções qu
para uma recepção de banda relativamen- tação mais abaixo. As ligações do barra- frequên
te larga. Para recepcionar sinais de onda- mento I2C (SCL e SDA) são soldadas a R2 e to pré-d
-longa entre 140 kHz e 450 kHz através da L4 R3. As saídas da placa do pré-divisor (OUT1 essas im
saída OUT1, utilize uma bobina com 170 4A1 4A2 a OUT4) são retiradas dos pontos indica- plo, a m
voltas de fio de cobre esmaltado com 0,2 dos na Figura 5 para as entradas A3 a A6 radioam
mm numa pequena barra de ferrite, entre do multiplexador (IC6). No software estas As mel
1E1 e 1A1; para recepcionar sinais de onda- 4E1 4E2 são selecionadas como In4 a In7 (Figura 6). quando
-média entre 550 kHz e 1600 kHz através de onda
da saída OUT2, utilize uma bobina com 40 Software e operação antena
voltas de fio de cobre esmaltado com 0,2 O software original para sintonia do recep- dade do
L3
mm sobre uma pequena barra de ferrite, tor SDR da Elektor foi alterado de forma a do é sem
entre 2E1 e 2A1. 3A1 3A2
incluir as funcionalidades necessárias para antena
As duas bobinas de acoplamento para a controlar os díodos varicap. A nova versão do ligad
antena de ondas-curtas, entre 4E2 e 4A2 (ElektorSDRpre.exe) pode ser obtida larga, m
3E1 3E2
e entre 3E2 e 3A2, podem ser ligadas em gratuitamente no site da Elektor. Como a velment
série, se desejar, e ligadas ao cabo da ante- 090615 - 13 Figura 6 mostra, existe agora um controle cia. Isto
na sem terra, como ilustrado na Figura 4. deslizante adicional que permite sintoni- da imag
Isto permite isolar a terra do PC (que está Figura 4. Ligação da antena para duas zar os circuitos de entrada. O valor digital maior f
ligada à massa do circuito) da terra da bandas de onda-curta. enviado, de 0 a 255, é apresentado numa da ante
antena, que pode ajudar a redu- janela. O conversor D/A transfor- a comp
zir consideravelmente a interfe- GND ma este valor numa tensão entre do sinal
rência. Essencialmente, não exis- 0 V e 5 V. A tensão é duplicada por melhor
te nenhuma perda de tensão no IC2.A, aparecendo uma tensão de interfer
sinal devido a esta ligação em sintonia de 0 V a 10 V aos termi- ambient
série, uma vez que as bobinas OUT3 nais dos diodos varicap. Existem
estão apenas em ressonância na OUT1 dois modos de operação: o modo Sinton
sua própria frequência seleciona- OUT2 manual (Man) e o modo automá- No mod
OUT4
da, e de outro modo apresentam tico (Auto). O software arranca no manual
uma baixa impedância. modo manual. circuito
SDA
Inicie o software como habitu- de estaç
Montagem e ligação SCL almente, junto com um decodi- matizad
Os furos de fixação da placa estão ficador como o Dream, SoDiRa, ajustand
posicionados de forma que possa G8JCFSDR ou SDRadio. Agora, que a ba
se montada diretamente sobre escolha a entrada In1 ou In2 para nia é m
a placa SDR usando espaçado- onda-média, ou In3 para onda- sintonia
res. Os fios necessários podem +5V * 090615 - 14
-curta. Ligue a antena aos termi- do. Os c
depois ser adicionados. A Figura nais ANT da placa SDR. Ajuste la de val
5 mostra os pontos de ligação na Figura 5. Pontos da placa do receptor SDR onde a frequência para uma estação e o soft
placa SDR; GND é ligada a C21. A o pré-divisor é ligado. conhecida, por exemplo, na faixa lector.t

12 03-2010 elektor elektor

090615_pre_divisor_receptor.indd 12 12/02/10 18:36


dos 49 m. adequadas para o conjunto recomendado uma de cada vez, e manualmente determi-
Agora, desligue a antena e volte a ligá-la de bobinas do circuito de front-end. ne a posição ideal para a barra deslizante
as.
à placa do pré-divisor. Mude para a entra- Se estiver pensando construir as suas de sintonia do circuito front-end. O espa-
da In4 (segunda entrada para onda-curta). próprias bobinas ou efetuar outras alte- çamento entre as frequências de referên-
Ajuste a posição da barra deslizante do pré- rações no circuito, vai precisar editar esta cia é contigo, mas é melhor se estas estive-
-divisor na tela para obter um nível de sinal tabela usando um editor de texto como, rem menos espaçadas em qualquer parte
máximo. Deve agora ver um sinal mais por exemplo, o Bloco de Notas. A estru- do intervalo de ajuste em que os valores de
forte aqui do que na entrada de banda tura do arquivo deve ser cuidadosamen- sintonia do front-end variem rapidamen-
larga, uma vez que o circuito de entrada te preservada. Para cada um dos quatro te. É também importante ordenar os valo-
sintonizado proporciona uma melhor adap- ressonadores de entrada existe exatamen- res de modo que a frequência mais baixa
tação à antena. A sensibilidade é conside- te dez entradas no arquivo: cada entrada (valor de sintonia 0) apareça primeiro e a
ravelmente melhorada, especialmente nas frequência de sintonia mais elevada (valor
bandas de frequência mais elevada. de sintonia 255) por último. Introduza os
Do mesmo modo, teste a primeira banda valores no arquivo e grave-o, preservan-
de onda-curta usando In5. Um proble- do o nome do arquivo. Quando o softwa-
ma comum quando tentamos recepcio- re é reiniciado novamente vai ler de novo
nar estações de frequência mais baixa em a tabela e usar os valores que lá estão
onda-curta usando a entrada de antena configurados. Para qualquer frequência,
r agora: de banda larga é a interferência de esta- o software calcula o valor mais adequado
alimen- ções que se encontram três a cinco vezes a através da interpolação entre os valores
o barra- frequência da estação pretendida. O circui- das duas frequências de referência mais
as a R2 e to pré-divisor atenua consideravelmente próximas de cada lado. A relação entre a
r (OUT1 essas imagens, dando acesso, por exem- frequência e o valor de sintonia é não line-
indica- plo, a muitas novas estações na banda de ar, variando muito mais rapidamente no
A3 a A6 radioamador dos 80 m. meio da faixa de sintonia do que nas suas
re estas As melhorias são ainda mais notórias extremidades.
gura 6). quando estamos sintonizando estações
de onda-longa e onda-média usando a Figura 6. O software de sintonia controla Antenas sintonizadas
antena com núcleo de ferrite. A intensi- agora também o pré-divisor. Se não for possível ou desejável usar uma
o recep- dade do nível de sinal obti- antena exterior, a melhor
forma a do é semelhante ao de uma escolha para recepção de
ias para antena de fio muito compri- o n das - l o n gas e o n das -
a versão do ligada à entrada de banda -médias é uma antena com
obtida larga, mas com considera- núcleo de ferrite. Para recep-
Como a velmente menos interferên- ção de ondas-curtas, uma
controle cia. Isto deve-se à supressão solução alternativa é usar
sintoni- da imagem das estações de uma antena em loop magné-
r digital maior frequência e ao fato tica sintonizada. Esta pode
o numa da antena de ferrite receber proporcionar uma recepção
ransfor- a componente magnética quase tão boa como uma
ão entre do sinal, o que fornece uma antena de fio longo exterior.
cada por melhor imunidade ao tipo de Temos, assim, a capacida-
nsão de interferência encontrada em de de recepcionar toda uma
s termi- ambientes domésticos. faixa de estações sem recor-
Existem rer a uma antena exterior.
o modo Sintonia automática Figura 7. O software SDRadio em ação. Para usar uma antena em
automá- No modo de funcionamento loop de onda-curta, deve
anca no manual é necessário ajustar a sintonia do consiste na frequência em kHz e o corres- omitir as bobinas L3 e L4 e substituir a
circuito de front-end sempre que mudar pondente valor de sintonia (no intervalo de bobina de ressonância pelo fio da antena
habitu- de estação. Este processo pode ser auto- 0 a 255). A tabela é iniciada por uma linha em loop. Não é necessária uma bobina de
decodi- matizado, com o software calculando e de comentário (dizendo In4, In5, etc.) e acoplamento porque a antena está liga-
SoDiRa, ajustando a tensão de sintonia. À medida terminada por uma linha em branco. O da a uma entrada de alta impedância. O
Agora, que a barra deslizante de controle de sinto- arquivo InitPreselector.txt disponibiliza- diâmetro do loop, o comprimento do fio e
n2 para nia é movida, o controle automático de do pode ser usado como ponto de partida a espessura do fio, determinam em conjun-
a onda- sintonia do pré-divisor ajusta-se de acor- para criar o seu próprio arquivo. to a indutância da antena e, desse modo,
s termi- do. Os cálculos são baseados numa tabe- Proceda do seguinte modo para determi- a faixa de frequência de funcionamento.
Ajuste la de valores de referência pré-calculados, nar os valores corretos de sintonia para Existem várias páginas de Internet que
estação e o software possui um arquivo InitPrese- colocar no arquivo. Encontre dez estações ajudam a efetuar os cálculos necessários:
na faixa lector.txt que contém as configurações na faixa de sintonia pretendida; sintonize ver, por exemplo [2].

elektor elektor 03-2010 13

090615_pre_divisor_receptor.indd 13 12/02/10 18:36


RÁDIO & TV

Receptor de rádio SDR


O Receptor de rádio SDR da Elektor com interface USB foi publicado em Outubro de 2007. Desde então, tornou-se num dos projetos SDR
mais usados do mundo. A placa SDR consegue receber não só sinais modulados em AM e DRM, mas também sinais de rádio amador (SSB
e CW), serviços de meteorologia marítimos, e estações de todo o mundo. O software SDR está continuamente sendo melhorado com
novas funcionalidades, e também pela comunidade de radioamadores de todo o mundo.
O projeto é uma versão de baixo custo ideal para introdução da tecnologia SDR. O circuito pré-divisor descrito neste artigo faz uma
enorme diferença no desempenho global do receptor: o circuito combinado com uma melhor antena permite captar estações de rádio
numa faixa muito superior. Além disso, se não for viável (ou desejável) usar uma antena externa, o circuito pré-divisor pode funcionar
com uma antena de loop sintonizada.

Uma maneira prática é usar do a K1. A tensão de alimentação


dois metros de fio flexível de 12 V é necessária porque os
com uma secção de 0,75 diodos varicap precisam de uma
mm2 para fazer um círculo tensão até 10 V para cobrir toda
com um diâmetro de 60 cm. a faixa de sintonia. Um regula-
A indutância desta malha dor de 5 V na placa do pré-divi-
vai ser de aproximadamente sor fornece a alimentação para o
2,5 μH, que, em paralelo com conversor D/A.
500 pF, dá uma frequência de Dependendo do computador
ressonância de cerca de 4,5 usado, a tensão de alimentação
MHz. Empiricamente, pode fornecida pela porta USB pode
ser obtida uma faixa de ser ruidosa. Esta pode ser parti-
frequências de sintonia de L3 L4 cularmente perceptível quando
4 MHz a 12 MHz. Se usar o se utiliza uma frequência inter-
mesmo comprimento de fio média (FI) baixa, uma vez que há
para fazer uma malha com um ruído considerável até cerca
duas voltas, o diâmetro vai de 2 kHz. Com uma frequência
ser reduzido para metade, intermediária de 10 a 15 kHz esta
o que resulta numa maior interferência não causa normal-
indutância. Uma malha com mente quaisquer problemas.
duas voltas com um diâme- No entanto, com a adição da
tro de 20 cm consiste numa placa do pré-divisor, temos a
antena de onda-curta útil oportunidade de melhorar a
para a faixa dos 3,5 MHz aos 3A1 3E1 tensão de alimentação da placa
14 MHz. Esta pode ser usada do Receptor SDR, ligando o
como antena interior para 4A1 4E1 090615 - 17
terminal de +5 V da placa do
recepcionar sinais DRM com pré-divisor ao terminal de +5 V
qualidade comparável a uma da placa do receptor, tal como
antena de fio longo. Figura 8. Construção de uma antena que consiste em dois loops indicado na figura. De modo a
Uma boa opção é cons- montados perpendicularmente em relação um ao outro. garantir que a placa do pré-divi-
truir uma antena a partir de sor não coloque nenhuma tensão
dois loops com 25 cm de diâmetro, liga- A antena é bastante direcional, valendo, de alimentação de volta para a porta USB
das perpendicularmente uma em relação portanto, a pena montá-la de modo que do computador, é essencial substituir L1 na
à outra. Um loop deve consistir apenas possa ser rodada – em alguns casos para placa SDR por um diodo (do tipo 1N4001,
numa volta abrangendo a recepção das eliminar fontes de interferência. catodo ligado a C2). O resultado é que a
altas frequências em onda-curta até 30 tensão de alimentação VCC na placa do
MHz, enquanto a segunda consiste em Fonte de alimentação receptor é fornecida pela fonte de alimenta-
duas voltas e funciona em torno dos 3 MHz O sistema utiliza duas fontes de alimenta- ção do pré-divisor, reduzindo, desta forma,
(Figura 8). As duas malhas em forma de ção separadas. A placa do Receptor SDR imenso a interferência para frequências
loop podem ser ligadas de modo a substi- retira a sua tensão de alimentação de +5 intermediárias mais baixas.
tuir as bobinas L3 e L4 na placa do pré-divi- V da porta USB do computador, enquanto (090615-1)
sor: o loop com uma volta entre 4E1 e 4A1, que a placa do pré-divisor utiliza os 12 V Artigo original: Preselector for Elektor SDR
e o loop com duas voltas entre 3E1 e 3A1. fornecidos por um adaptador de rede, liga- December 2009

Internet
[1] “Rádio de Elevada Qualidade”, Elektor Nº67 Outubro de 2007. [2] www.technick.net/public/code/cp_dpage.
php?aiocp_dp=util_inductance_circle

14 03-2010 elektor

090615_pre_divisor_receptor.indd 14 12/02/10 18:36


entação
rque os
de uma
brir toda
regula-
pré-divi-
o para o

putador
entação
SB pode
er parti-
quando
ia inter-
z que há
té cerca
quência
kHz esta
normal-
mas.
ição da
emos a
horar a
da placa
ando o
laca do
de +5 V
al como
modo a
pré-divi-
a tensão
orta USB
uir L1 na
1N4001,
é que a
laca do
imenta-
a forma,
uências

090615-1)
ktor SDR
ber 2009

elektor

090615_pre_divisor_receptor.indd 15 12/02/10 18:36


DOMÉSTICO

Protocolos abertos para lar nas h


países eu

automação doméstica
res dom
sa em Po
outros p
[4], com

X10, KNX e DigitalSTORM tos, e ou


O proto
através d
com um
passage
um envi
é enviad
taxa de
vos e 16
X10, con
passage
para me
do por s
uma luz
como Po
ca, corre
atraso d
Um prob
não prev
é apenas
inclui a p
tal são n
rada, ma
Em resu
mas tem
privados
relativam

KNX –
Ernst Krempelsauer (Elektor) A norma
sua orig
Tal como descreveremos em outro artigo sobre automação doméstica, na próxima edição, existem baseado
que foi e
várias normas e protocolos para automação doméstica, sendo que alguns deles merecem uma especial mentos
atenção por serem abertos. Isto significa que qualquer projetista pode desenhar o seu próprio dispositi- BUS e EH
entre vá
vo compatível com o protocolo em questão. E o fato de serem abertos significa que são suportados por das cara
• Norm
diferentes fabricantes.
• 174 em
As normas para automação doméstica mais comuns são essen- comunicações pela rede elétrica PLC (Power Line Communication) e • Mais d
cialmente três, embora todas elas sejam bastante diferentes entre enviam as suas mensagens pelos fios elétricos já existentes. A norma • Quatr
si: X10, KNX e DigitalSTORM. O X10 foi a primeira norma a surgir, KNX, pelo contrário, possibilita quatro formas de comunicação: um • Ampla
tendo sido desenvolvida nos anos 70; a KNX é baseada no EIB (Euro- par de fios entrelaçados convencional, como no sistema EIB original, • Ampla
pean Instalation Bus), desenvolvido no final dos anos 80, e a Digi- além da opção via rádio, PLC e Ethernet. • Caract
talSTORM é uma iniciativa recente para uma nova norma aberta, Apenas o KNX conseguiu até agora o estatuto de norma europeia • Três m
cujo desenvolvimento começou em 2008. Os principais mercados (EN) e internacional (ISO/IEC). • Indepe
de cada um dos sistemas são também geograficamente distintos, o
X10 encontra-se sobretudo localizado nos EUA sendo relativamente Trinta anos de X10 O KNX é
raro na Europa, enquanto que o KNX é claramente líder de merca- O X10 foi desenvolvido pela empresa escocesa Pico Electronics edifício m
do europeu. Espera-se que os primeiros produtos DigitalSTORM Limited [1] em 1976. Para o seu tempo este era um sistema revo- ligação d
cheguem ao mercado durante o próximo ano. lucionário, recorrendo a um dos primeiríssimos circuitos integra- quer edi
Apesar da diferença de idades, o X10 e o DigitalSTORM são basea- dos especializados de baixo custo. Vendido nas lojas Radio Shack dispend
dos no mesmo método de comunicação subjacente, ambos utilizam e Sears a partir do ano 1978, o X10 rapidamente se tornou popu- A norma

16 03-2010 elektor elektor

081062_domestico_protocolos_abertos.indd 16 12/02/10 18:36


História de uma norma
lar nas habitações mais sofisticadas da América do Norte. Em alguns
países europeus há alguma tradição na adoção do X10 em instalado- 1980 Primeiros sistemas de barramento para au-
res domésticos. A Marmitek na Holanda [2], ou a EuroX10/CentralCa- tomação de edifícios.
sa em Portugal [3]. Estas empresas têm também distribuidores em 1990 Criação da EIBA (European Installation Bus
outros países. Existe até uma página na Wikipedia dedicada ao X10 Association).
[4], com ligações para vários grupos de discussão, páginas de proje-
1991 Primeiros produtos EIB disponíveis.
tos, e outros recursos relacionados.
O protocolo, composto por endereços e comandos, é transmitido 1994 Norma europeia (EN) da EIB.
através da rede elétrica já disponível na habitação, utilizando um sinal 1996 BatiBUS, EIB e EHS (European Home System)
com uma portadora de 120 kHz. É transmitido um único bit em cada iniciam um processo de convergência para uma
passagem do sinal por zero (50 ou 60 Hz). Para enviar o valor lógico norma comum.
um envia-se um pulso com 1 ms, para enviar o valor lógico zero não
é enviado qualquer pulso (Figura 1). Uma consequência desta baixa 1999 Criação da associação Konnex para suporte da nor-
taxa de transmissão é que o sistema apenas suporta 256 dispositi- ma comum (KNX).
vos e 16 códigos de comando. A transmissão de um bloco de dados 2002 Publicação da especificação KNX.
X10, contendo um endereço ou um comando, demora um total de 22
2003 KNX torna-se uma norma europeia (EN 50090).
passagens por zero. Cada bloco de dados é transmitido em duplicado
para melhorar a confiabilidade, seguido por um separador constituí­ 2006 KNX torna-se uma norma internacional (ISSO/IEC
do por seis passagens por zero. Assim, a simples tarefa de acender 14543-3).
uma luz demora um total de 100 passagens por zero, o que num país
como Portugal, com uma frequência de 50 Hz no sinal da rede elétri-
ca, corresponde a um tempo total de transmissão de 1 segundo. Um usa um cabo entrelaçado TP (twisted pair). O KNX utiliza um cabo
atraso deste gênero pode tornar-se aborrecedor para o utilizador. de 4 condutores chamado TP-1 para o seu barramento de dados,
Um problema mais sério com o protocolo X10 (convencional) é que em que dois condutores são reservados para uso futuro. A trans-
não prevê mensagens de confirmação. Desta forma, a comunicação ferência de dados é feita a 9600 bit/s e o sistema pode suportar
é apenas num sentido e não é confiável. Uma extensão da norma X10 até 65.000 dispositivos KNX.
inclui a possibilidade de obter o estado de um dispositivo, mas para
tal são necessários dispositivos especiais. A confiabilidade é melho- A grande maioria dos sistemas KNX instalados até à data utiliza
rada, mas o sistema torna-se ainda mais lento. cabos TP-1. Existem ainda duas variantes adicionais da norma
Em resumo, o sistema X10 parece hoje em dia bastante antiquado, KNX que utilizam a própria rede elétrica para comunicação de
mas tem grandes vantagens, especialmente para os utilizadores dados (PL – ou Power Line), as chamadas PL-110 e a PL-132. A
privados, na medida em que a instalação é bastante simples e o custo PL-110 utiliza um sinal de 110 kHz com modulação SFSK (Spread
relativamente baixo. Frequency Shift Keying), com uma taxa de transferência de 1200
bit/s. A comunicação é bidirecional (mas half-duplex, ou seja,
KNX – A norma multifunções só se pode transmitir numa dada direção em cada instante). O
A norma KNX é altamente versátil e tem um âmbito internacional. A protocolo PL-110 é também derivado de uma norma EIB antiga, e
sua origem resulta de um demorado processo de desenvolvimento embora teoricamente suporte um grande número de topologias
m baseado na experiência de milhares de edifícios equipados com EIB,
que foi expandido de forma a incorporar as características dos barra-
pecial mentos dos seus competidores, nomeadamente dos sistemas Bati- Passagem por zero
positi- BUS e EHS (consulte a secção História de uma Norma). A cooperação
20 ms
entre vários fabricantes europeus conhecidos resultou em algumas
os por das características únicas da norma KNX: 1 ms

• Norma internacional (ISO/IEC).


• 174 empresas participantes em 29 países.
cation) e • Mais de 7000 grupos de produtos.
A norma • Quatro meios de comunicação de dados distintos.
ção: um • Ampla gama de aplicações.
original, • Ampla gama de funções.
• Características e software de operação independente do fabricante.
europeia • Três modos de configuração distintos.
• Independente da escolha final de hardware ou sistema operacional.

O KNX é adequado para todos os serviços que se possam esperar num


ctronics edifício moderno, tal como serviços de medição, gestão de energia e 120 kHz
ma revo- ligação de eletrodomésticos em rede, podendo ser utilizada em qual-
integra- quer edifício, novo ou antigo, da mais humilde habitação até ao mais Figura 1. Na norma X10 o valor lógico um é transmitido
o Shack dispendioso centro de exposições. como conjunto de pulsos de 120 kHz durante a passagem por
u popu- A norma é baseada em EIB (também conhecido como Instabus), que zero da tensão da rede elétrica.

elektor elektor 03-2010 17

081062_domestico_protocolos_abertos.indd 17 12/02/10 18:36


DOMÉSTICO

e um grande número de dispositivos, na prática a taxa de trans- utilizaçã


ferência baixa e qualidade geral da comunicação são fatores limi- tador. O
Repetidor tativos. A PL-132 difere da PL-110 na frequência da sua portadora funções,
(132 kHz) e velocidade de transmissão (2400 bit/s). A frequência ser utiliz
da portadora de 132 kHz é herdada da EHS, e existem converso- a config
Crianças Pais res para fazer a interface entre dispositivos EHS e um sistema KNX é operad
PL-132. re, sem
é possív
A KNX RF (Radio Frequency) funciona na banda dos 868,3 MHz ferrame
com uma potência de transmissão de 10 mW a 25 mW utilizando Existem
modulação FSK (Frequency Shift Keying). É utilizada codificação as config
Manchester e os blocos de dados são protegidos utilizando CRC. A compon
velocidade de transmissão de dados é de 16.384 bit/s. Os compo- como o
Sala de
Estar nentes KNX RF são muito eficientes no seu consumo de energia,
alguns chegam a ter uma autonomia de seis anos com uma única Barram
bateria, e estão disponíveis unidades unidirecionais e bidirecio- Cada di
nais. As interfaces KNX RF e KNX TP-1 podem ser utilizadas num ligado a
único sistema, ou até num único componente (Figura 2). Os siste- até 64 d
mas de rádio são também compatíveis com a norma para serviços o menor
Sala Biblioteca de medida M-BUS (Meter Bus), em que um sistema de gestão de para for
energia pode fazer leituras de dispositivos de medida (ditos “inte- 4096 dis
ligentes”) M-BUS. A norma KNX RF também possibilita a utiliza- 16 áreas
ção de repetidores para aumentar o alcance do sistema (Figura 2). O comp
Embora a norma permita a utilização de componentes de diferen- Cada lin
tes fabricantes no mesmo sistema, na prática apenas estão disponí- dor de li
veis sistemas da Siemens, GAMMA Wave e Synco Living, e da Hager ligadas à
Tebis KNX [5]. Existem outros sistemas de rádio para automação O barram
doméstica, não compatíveis, mas que podem ser ligados a um também
Figura 2. Disposição de uma casa equipada com o sistema Synco sistema KNX através de uma ligação gateway. Por exemplo, o Ther- dispositi
Living da Siemens, que utiliza barramentos KNX RF e KNX TP-1 mokon [6] oferece uma interface entre os sensores rádio EnOcean desta ali
(Fonte: Siemens AG). [7] e o sistema EIB/KNX. cia deve
Como é
Além destes três meios distintos para ligação de componentes, tação de
a norma KNX também prevê a comunicação através da norma mento (
IP, utilizando tecnologias como Ethernet, WLAN, Firewire, entre (Safety E
outros. A KNXnet/IP define duas opções básicas: O barra
• O túnel IP permite a transmissão de pacotes KNX ponto-a-ponto precisar
através de uma rede IP. de dete
• O encaminhamento IP permite a utilização de uma rede IP como Multiple
uma estrutura de comunicação rápida entre vários grupos de dispo- cipante
sitivos em redes KNX, consulte a descrição da estrutura da rede em transmit
baixo. Os encaminhadores (routers) KNX IP fazem o trabalho final torizaçã
normalmente associado aos acopladores (couplers) de linha e de le do ba
área numa rede KNX, conforme a sua configuração. barrame
barrame
Um aspecto importante da norma KNX é a utilização de modos e seguida
software de configuração ETS (Engineering Tool Software) inde- fica se o
pendente do fabricante, para projetar e configurar um sistema mente t
KNX. Existem três modos de configuração: cia de at
• S-mode: modo de sistema, configurado através de programas disposit
KNX ETS. resultan
• E-mode: modos simples, configurado diretamente em cada indutân
dispositivo, ou utilizando uma simples unidade de programação. essa carg
• A-mode: configuração automática (plug and play). em relaç
semelha
Os sistemas mais divulgados utilizam o S-mode ou ambos os A ideia p
modos S-mode e E-mode. O A-mode foi projectado para utiliza- vo é que
Figura 3. Interface de utilizador do software de configuração
ção em eletrodomésticos inteligentes e ainda não alcançou uma no barra
ETS3 KNX (versão para iniciantes e profissional)
(Fonte: Associação KNX). utilização abrangente. O S-mode foi herdado do EIB, e requer a mitido p

18 03-2010 elektor elektor

081062_domestico_protocolos_abertos.indd 18 12/02/10 18:36


Normas abertas
de trans- utilização de programas ETS (a versão atual é ETS3) num compu- Se uma determinada norma merece ser considerada “aberta” ou
res limi- tador. O ETS pode configurar todos os componentes KNX, ativar “livre” é algo difícil de qualificar. A KNX e a DigitalSTORM auto-
ortadora funções, configurações e endereços de grupo. O ETS pode também -descreveram-se como “abertas”. A X10 é encarada pelos seus
quência ser utilizado (Figura 3) num sistema pré-existente para modificar
utilizadores como uma norma industrial aberta, mas este é um
onverso- a configuração atual. Por exemplo, é possível alterar que lâmpada
caso especial uma vez que as patentes que datam dos anos 1970
ma KNX é operada através de um dado interruptor somente por softwa-
já expiraram.
re, sem ser necessário alterar a instalação já montada. Também
é possível reconfigurar o sistema a partir da Internet através da As definições dadas em [17] e [18] para normas abertas aplicam-
8,3 MHz ferramenta iETS. -se na sua maioria, mas isso não é o mesmo que dizer que o acesso
tilizando Existem muitas variantes do E-mode, que diferem na forma como às tecnologias envolvidas está isento de custos. A KNX e a Digital-
dificação as configurações de um componente são alteradas, quer seja no STORM cobram ambas pela participação na sua organização, e os
o CRC. A componente em si ou através de uma ferramenta de configuração valores são superiores para empresas de maiores dimensões. Esta
compo- como o Hager TX100B (Figura 4). inscrição é obrigatória para se poder obter o suporte e o direito
energia,
legal de utilizar a propriedade intelectual para fins comerciais.
ma única Barramento KNX e disposição de hardware
Contudo, uma vez paga essa inscrição, as empresas não precisam
direcio- Cada dispositivo presente num barramento de dois fios TP-1 é
das num ligado a ambas as linhas do barramento (Figura 5). Um cabo com de pagar custos de licenciamento adicionais. No caso específico da
Os siste- até 64 dispositivos (na versão estendida, 256) forma uma linha, KNX, sendo uma norma europeia e internacional, a documentação
serviços o menor grupo num sistema. Podem ser agrupadas até 16 linhas está também disponível nos órgãos de normalização nacionais, e
estão de para formar uma área, pelo que uma área pode ter até 16 × 256 = uma vasta quantidade de documentação KNX pode ser também
os “inte- 4096 dispositivos KNX. Uma rede KNX (Figura 6) pode conter até encontrada nas páginas dos fabricantes. As universidades e insti-
a utiliza- 16 áreas, permitindo um total de 4096 × 16 = 65536 componentes. tutos de investigação gozam de condições especiais, podendo
gura 2). O comprimento total do cabeamento pode chegar aos 1024 km! juntar-se à associação KNX por 250 euros por ano como “parceiros
diferen- Cada linha é ligada à linha principal da área através de um acopla- científicos”, com acesso ao software e servidor FTP da organi-
disponí- dor de linha. De igual forma, as linhas principais de área podem ser zação. É também possível registar-se no site digitalSTORM.org
da Hager ligadas à infra-estrutura através de acopladores de área.
gratuitamente como parte interessada (interested party) e assim
omação O barramento de dois condutores não só transmite os dados mas
obter acesso ao software de simulação desta organização.
os a um também uma tensão de alimentação nominal de 30 V DC. Cada
o, o Ther- dispositivo ligado ao barramento pode consumir até 12 mA a partir
EnOcean desta alimentação. Um dispositivo KNX que precise de mais potên-
cia deve ter a sua própria fonte de alimentação (externa).
Como é ilustrado na Figura 5, cada linha tem uma fonte de alimen-
onentes, tação dedicada, ligada através de um par de bobinas de amorteci-
a norma mento (choques). A gama de tensões permitida nesta linha SELV
e, entre (Safety Extra Low Voltage) vai de 20 a 32 V.
O barramento KNX TP-1 foi especialmente concebido para não
a-ponto precisar de resistências de terminação. Utiliza um protocolo
de deteção de portadora e de colisões CSMA/CA (Carrier Sense
IP como Multiple Access/Colision Avoidance), em que um dispositivo parti-
de dispo- cipante apenas transmite no barramento quando tem dados para
rede em transmitir ou estes lhe são pedidos. Não há um controle ou moni-
lho final torização periódico por parte do dispositivo principal de contro-
nha e de le do barramento (bus master), o que ajuda a reduzir a carga no
barramento e a minimizar os atrasos na transmissão. As colisões no
barramento são evitadas através do protocolo que se descreve em
modos e seguida. Enquanto um dispositivo estiver transmitindo, este veri-
re) inde- fica se o barramento está livre. Apenas o valor lógico zero é ativa-
sistema mente transmitido; o valor lógico um é transmitido como ausên-
cia de atividade no barramento. Um bit zero é transmitido por um
ogramas dispositivo através de uma breve carga adicional no barramento,
resultando num breve decréscimo na tensão de alimentação. A
em cada indutância das bobinas de amortecimento implica que quando
mação. essa carga é libertada, a tensão de alimentação tem um breve pico
em relação ao seu valor nominal, resultando num comportamento
semelhante ao da Figura 7.
mbos os A ideia por trás de utilizar um valor zero ativo e um valor um passi-
a utiliza- vo é que se dois dispositivos tentarem transmitir uma mensagem
çou uma no barramento exatamente ao mesmo tempo, o valor zero trans-
Figura 4. TX100 Configurador para uma configuração simples de
requer a mitido por um dispositivo vai sobrepor-se ao valor um transmitido
sistemas KNX-TP e KNX Radio no E-mode (Fonte: Hager Tehalit).

elektor elektor 03-2010 19

081062_domestico_protocolos_abertos.indd 19 12/02/10 18:36


DOMÉSTICO

A - Bus

Power
AC power line Supply pelo outro. O dispositivo “em desvan-
30V
B - Bus tagem” pode detetar a ocorrência da
colisão e terminar a sua transmissão,
enquanto o “vencedor” continua trans-
TP-UART TP-UART TP-UART
mitindo. Assim, o barramento nunca fica
Optional
Electrical Isolation
IC IC IC
bloqueado, mesmo com uma forte utili-
RxD TxD RxD TxD RxD TxD

(Optocoupler) zação, e os atrasos de transmissão são


Application Application ... Application
mantidos em valores aceitáveis.
Optional Power Supply
A Figura 8 apresenta o diagrama de
Bus Device 1 Bus Device 2 Bus Device n
blocos interno de um regulador de lumi-
nosidade KNX/EIB, um exemplo de um
Figura 5. Componentes KNX interligados para formar uma dispositivo com a sua própria fonte de
linha de barramento. Cada linha tem a sua própria fonte de
alimentação. Nos primeiros dias da EIB
alimentação de 30 V (Fonte: Siemens AG).
eram utilizados transformadores de
barramento especiais, mas hoje em dia
encontram-se circuitos integrados e
0.0
line
coupler lin
e módulos dedicados. A Siemens dispo-
ne 0.0.255
area bo nibiliza uma TP-UART, assim como um
ck
ba
coupler 0.0.001

area 15 transceiver integrado para cabeamento


...

area 2
15.0.000
entrelaçado com a referência FZE1066.
Tem também disponível um controla-
area 1 1.0.000
1.0.000
main line 1.0
dor de barramento KNX, que pode ser
...
1.1.000 1.2.000 1.15.000
combinado com a TP-UART para cons-
1.1.001 1.2.001 1.15.001 1.0.001 truir um chipset KNX [8]. O controla-
1.1.002 1.2.002 1.15.002 1.0.002 dor consiste num microcontrolador
1.1.003 1.2.003 1.15.003 1.0.003
µPD78F053x da NEC, programado com
... ... ... ...
firmware certificado KNX (KNX System
1.1.252 1.2.252 1.15.252 1.0.252

1.1.253 1.2.253 1.15.253 1.0.253 2.5).


1.0.001 1.0.001 1.0.001 1.0.001
1.1.255 1.2.255 1.15.255 1.0.255

Informação e projectos KNX te. Cada


O site de referência para esta norma é o da associação KNX [9], inclui um
Figura 6. Uma rede KNX está dividida em áreas e linhas, disponível em 12 línguas distintas. Uma navegação atenta permi- mW e te
agrupadas através de acopladores de linha e área (ou routers te-lhe obter uma boa perspectiva do sistema e das atividades da le de po
KNX) (Fonte: Associação KNX). associação. Pode também obter alguns documentos gratuitos, até 120
um jornal dedicado e um software de demonstração KNX. Exis- consum
tem várias ligações e índices, para sites de fabricantes, grupos de funciona
+36V max. utilizadores e fóruns. O grupo de utilizadores oficial da KNX pode mas exis
+30V ser encontrado em Partners, na forma de uma lista de universi- mento d
dades com participação em atividades relacionadas com KNX. da empr
Se carregar em News & Press e depois em Links pode encontrar
+21V
uma lista de fóruns num grande número de línguas e países.
bit #1 bit #2
Falta talvez nesta lista o site do projeto Freebus [10], que abor- Artigo
0 µs 35 µs 104 µs da a construção doméstica de módulos compatíveis com KNX
(EIB TP-1) e que conta já com a publicação de vários projetos. Na
Figura 7. Um bit de valor lógico zero é transmitido no página de Georg Luber [11] pode também encontrar datasheets
barramento TP-1 através de uma breve descida do valor da e ferramentas de software úteis para quem esteja interessado
tensão de alimentação (Fonte: Andreas Krebs, Freebus.org). em projetos KNX.
Também vale a pena recomendar o site dedicado à KNX pela
Universidade de Tecnologia de Viena [12] assim como a tese
EIB AC
power line de graduação de Friedrich Praus [13] (em inglês), que faz uma
TX Vcc compreensiva introdução ao KNX/EIB e descreve uma versátil placa
2
Trans-
ceiver
Signal
conver-
RX µC Voltage Supply
2
com microcontrolador para aplicações KNX/EIB. Ao procurar por
sion UBus
projetos KNX na Internet, vale a pena tentar a palavra EIB como
electrical insulation
Vcc alternativa à palavra-chave KNX.
n
Application A EIBMARKT [14] fornece informações sobre preços para produtos
Circuit
KNX. Por exemplo, um gateway KNX, para interface entre um PC
e um sistema KNX através da porta RS232 ou USB está disponível
por menos de 170 euros.
Figura 8. Diagrama de blocos de um controlador de
luminosidade KNX/EIB (Fonte: Associação KNX).

20 03-2010 elektor elektor

081062_domestico_protocolos_abertos.indd 20 12/02/10 18:37


DigitalSTORM Host Controller
Este novo conceito, em igual medi-
da ambicioso e fascinante, representa RxD TxD RESn

uma nova reviravolta no antigo concei- UART Receiver UART Transmitter TSTIN_BDS
(Baud rate)
to de PLC. Em primeiro lugar, não exis- Digital Part TSTout
1 Bytebuffer
te portadora de alta frequência nem 64-byte
Controll-Logic State Byte
(receive) Mode 0
‘telegram’ Control Logic
modulação em frequência. Em segun- Tx buffer ACK Flags Mode 1
do lugar, o hardware foi encolhido para EIB Receiver 4.9152 MHz
caber num único circuito integrado EIB Transmitter Filter
0.05 %
(dSChip), que cabe numa pequena barra EIB Transmit RxD3 EIB ReceiveTxD3

de chocolate. A transferência de dados Analog Part


é feita, tal como na norma X10, durante Transmit Receive
as passagens por zero da tensão da rede Power Supply
5 V Regulator
elétrica. Contudo, qualquer semelhan-
ça termina aqui. Um dispositivo escravo
(slave) transmite informação através de EIB
um ligeiro aumento (ou diminuição) do
consumo (real) de potência do aparelho
em causa, durante uns breves micros- Figura 9. Diagrama de blocos do circuito integrado TP-UART da
segundos, enquanto o dispositivo de Siemens. Adicione um microcontrolador e fica com um elemento
controle do barramento (master) trans- de barramento completo (Fonte: Siemens AG).
mite informação através de um breve
curto-circuito à tensão alternada apli-
cada à linha. Uma vez que nessa altura
a tensão AC está perto da sua passagem
por zero, o consumo de corrente decor-
rente deste método é muito reduzido.
Os bits de dados são portanto transpor-
tados através da modulação de corren-
te. Cada dSChip, tal como o barramento 1-Wire ou etiqueta RFID,
KNX [9], inclui um único endereço. O chip em si dissipa apenas cerca de 300
a permi- mW e tem cerca de 60 funções incluídas, nomeadamente o contro-
dades da le de potência através do controle da fase para eletrodomésticos
atuitos, até 120 W (incluindo lâmpadas de baixo consumo), medição do
NX. Exis- consumo de eletrodomésticos, várias interfaces e muitas outras
upos de funcionalidades. Este dispositivo ainda não se encontra à venda,
NX pode mas existe muita informação para consulta sobre o desenvolvi-
universi- mento de um sistema na página da digitalSTORM.org [15] e no site
m KNX. da empresa que desenvolveu o circuito integrado, aizo AG [16].
ncontrar Figura 10. A placa de circuito impresso onde está alojado o
países. (081062-1) integrado DigitalSTORM é alimentada diretamente através
ue abor- Artigo original: Open Standards for the Automated Home – December da rede elétrica e tem o tamanho de uma pequena barra de
om KNX 2009 chocolate (Fonte: digitalSTORM.org).
etos. Na
asheets
ressado Internet [10] www.freebus.org/ (em alemão)

NX pela [1] www.xnumber.com/xnumber/microprocessor_history.htm [11] www.knx-developer.com/


o a tese [2] www.marmitek.com/ [12] www.auto.tuwien.ac.at/a-lab/knx-eib.html
faz uma
[3] www.eurox10.com/ [13] www.praus.at/files/diplomarbeit_fpraus.pdf
átil placa
urar por [4] http://en.wikipedia.org/wiki/X10_(industry_standard)
[14] www.eibmarkt.com/cgi-bin/eibmarkt.storefront/EN
IB como [5] www.hager.com/
[15] digitalstrom.org/index.php?id=115&L=2
rodutos [6] www.thermokon.com/
e um PC [16] www.aizo.com/en/
[7] www.enocean-alliance.org/
sponível [17] www.itu.int/ITU-T/othergroups/ipr-adhoc/openstandards.html
[8] www.opternus.com/en/siemens/knx-chipset.html
[9] www.knx.org/ [18] http://en.wikipedia.org/wiki/Open_standard

elektor elektor 03-2010 21

081062_domestico_protocolos_abertos.indd 21 12/02/10 18:37


medição & teste

Circuito de monitoração
para bateria
tudo sob controle
Steffen Graf (Alemanha)

bits. O c
tem um
é suficie
não par
mediçã
querem
de corre
e desca
Este circuito foi originalmente concebido para monitorar o estado A/D com
da carga de baterias num sistema alimentação por energia solar. No usamos
para efe
entanto, pode ser usado em qualquer aplicação onde um conjunto convers
ções de
de baterias é carregado e descarregado. O circuito utiliza um chumbo de 6 V, 12 V, 24 V ou 36 V. A faixa MCP355
microcontrolador LPC2103 ligado a um conversor A/D de 22 bits para de correntes também é suficientemente midame
elevada; e apesar disso, possui uma reso- 22 bits”
medir a corrente de carga e descarga, a tensão nas baterias, o estado lução elevada, com uma precisão de 1 mA Janeiro d
da carga (ou capacidade disponível) e a potência instantânea que está nas medições. Uma ponte de ligação SMD circuito
de 50 mΩ/2 W permite ter uma construção num con
sendo consumida ou entregue à bateria. compacta e correntes até 6 A. entradas
num enc
Existem vários métodos de disponibilizar bém a capacidade da bateria disponível. O Panorama fácil de s
uma indicação do estado da carga de um circuito que aqui descrevemos disponibiliza O microcontrolador utilizado é o LPC2103, Um asp
conjunto de baterias recarregáveis. O mais todas estas funcionalidades e pode ser uti- um dispositivo de 32 bits com um núcleo geração
conhecido utiliza o método de medição lizado para monitorar as baterias, ou mais ARM7, baseado em controladores RISC tação. O
da tensão da bateria, porém, as baterias precisamente medir as tensões, correntes e da NXP. Difere apenas em alguns peque- 3,3 V pa
de NiMH e NiCd têm uma tensão bastante potência num qualquer sistema de alimen- nos detalhes do dispositivo LPC2106 utili- lador, 1,
constante ao longo da curva de descarga, tação DC. O autor utiliza este circuito para zado na placa de desenvolvimento ARMee, lador e 1
pelo que este método não é muito preciso. monitorizar uma pequena instalação ali- publicada pela Elektor na edição de Feve- convers
Uma abordagem mais eficiente é medir mentada a energia solar. reiro de 2006, e no artigo “Bancada para ser gera
também a corrente que entra e sai da bate- Este circuito pode funcionar numa grande automação de motores de combustão” uma ele
ria. Isto permite calcular o fluxo de energia faixa de tensões de alimentação, que vai publicado na edição de Julho de 2009. ção variá
e a carga, e deste modo, caso o estado ini- desde os 6 V até aos 42 V, podendo assim Ambos os circuitos se baseiam num pro- usar um
cial da bateria seja conhecido, calcular tam- ser usado em aplicações com baterias de cessador com um núcleo ARM7TDMI de 32 tensão d

22 03-2010 elektor elektor

080824 circuito de monitorizacao para bateria UK.indd 22 12/02/10 18:37


Características
• Apresentação do fluxo de corrente (em mA ou A), nível de tensão (mV), capacidade
(Ah) e potência (mW ou W).
• Adequado para monitorizar todos os tipos de baterias recarregáveis.
• Adequado para baterias com tensões de 6 V a 42 V.
• Corrente máxima de medição: ± 6 A.
• Elevada precisão: resolução na medição de tensão 76 mV, resolução na medição de
corrente 12 µA (interno), 1 mA (mostrado no LCD).
• Baixo consumo de energia devido à utilização de reguladores de elevada eficiência:
<200 mW (com iluminação de fundo desligada), <300 mW (com iluminação de fundo
ligada).
• O módulo entra em conta com o seu próprio consumo de energia no cálculo da
corrente consumida e capacidade.
• Frequência de atualização do LCD: 1 Hz.
• LED de detcção de subtensão e sobretensão.
• LCD de 2x16 caracteres com iluminação de fundo.
• Construção compacta.
• Interface série para envio dos valores medidos.
• Firmware disponível gratuitamente no site da Elektor.
bits. O conversor A/D interno do LPC2103
tem uma resolução de apenas 10 bits: isto
é suficiente para a medição de tensão, mas tamente da entrada. Um segundo conver- impresso. A placa é inserida na linha de ali-
não para a precisão que pretendemos na sor comutado obtém os 3,3 V a partir dos mentação da bateria usando esses termi-
medição de corrente. Além disso, como 5 V, e as restantes tensões de alimentação nais, podendo assim monitorar a corrente
queremos ser capazes de medir o fluxo (1,8 V e 1,2 V) são geradas a partir da ten- que flui para o interior e exterior da bateria.
de corrente em ambos os sentidos (carga são de 3,3 V usando reguladores lineares. O conector K1 também fornece a tensão
e descarga) precisamos de um conversor Mesmo para grandes valores de tensão de para alimentar o circuito através do regula-
A/D com uma entrada diferencial. Assim, entrada a dissipação de potência do cir- dor comutado de 5 V (IC1), e com o divisor
usamos o conversor A/D interno apenas cuito é bastante baixa, eliminando a neces- de tensão formado por R3, P1 e R8 fornece
para efetuar as medições de tensão, e um sidade de usar um volumoso dissipador de a tensão de entrada para medição. O divi-
conversor externo para efetuar as medi- calor. sor de tensão reduz o nível de tensão para
ções de corrente. Para isso selecionamos o um valor adequado à entrada do conver-
. A faixa MCP3550-50 da Microchip, descrito resu- Circuito e construção sor A/D interno do LPC2013, que só aceita
emente midamente no artigo “Conversor A/D de O circuito completo é apresentado na valores de tensões entre os 0 V e 3,3 V. O
ma reso- 22 bits” publicado na edição de Dezembro/ Figura 1. De um total dos seis circuitos divisor de tensão pode ser configurado de
de 1 mA Janeiro de 2009 da Elektor. Este magnifico integrados, quatro deles são regulado- duas formas diferentes:
ão SMD circuito integrado (ver secção) consiste res de tensão. Os reguladores comutados
nstrução num conversor delta-sigma de 22 bits com (IC1 e IC2) podem ser identificados pelas 1. Utilizar componentes com uma tolerân-
entradas diferenciais e porta SPI, disponível bobinas que estão ligadas aos mesmos, cia de 0,1% para R3 e R8, caso em que P1
num encapsulamento SMD razoavelmente enquanto que os reguladores lineares (IC3 pode ser dispensado.
fácil de soldar. e IC4) apenas têm capacitores de desaco- 2. Utilizar resistências normais (com uma
PC2103, Um aspecto importante deste projeto é a plamento e filtragem ligados a eles. O cir- tolerância de 1%) e depois calibrar a ten-
m núcleo geração das diferentes tensões de alimen- cuito de medida propriamente dito con- são medida ajustando P1 (R8 pode então
es RISC tação. O circuito precisa de 5 V para o LCD, siste apenas no LPC2103 (IC5) e no conver- ser omitida).
peque- 3,3 V para o conversor A/D e microcontro- sor A/D (IC6). Estes dispositivos necessitam
06 utili- lador, 1,8 V para o núcleo do microcontro- de um mínimo de componentes externos. Se a tensão de entrada for superior a 40 V,
ARMee, lador e 1,2 V para a tensão de referência do A entrada de IC6 (MCP3550) está simples- então o ajuste de P1 é necessário em qual-
de Feve- conversor A/D. Todas estas tensões têm de mente ligada através de uma resistência de quer caso, para uma melhor precisão; e se
da para ser geradas de forma eficiente a partir de passagem (ponte de ligação em forma de a tensão de entrada for mesmo superior a
bustão” uma elevada faixa de tensões de alimenta- resistência com 0,05 Ω) para medir a cor- 42 V é necessário também efetuar ajustes
e 2009. ção variáveis (entre 6 V e 42 V). Isto implica rente da bateria: a resistência de passagem ao software.
um pro- usar um conversor comutado para gerar a está ligada diretamente entre os terminais A ponte de ligação JP2 permite que a ten-
MI de 32 tensão de alimentação de 5 V a partir dire- de entrada e saída na placa de circuito são de alimentação de 5 V da unidade

elektor elektor 03-2010 23

080824 circuito de monitorizacao para bateria UK.indd 23 12/02/10 18:37


medição & teste

+5VBATT +5V +5VUSB


+6V...+42V +5VBATT
K4
IC1
Opcional A
1
VIN FEEDBACK
4
+5V LCD

TL2575HV JP2 DEM16217(HM)SYH-PY


05IKTTR Selecção fonte 5 V
L1 R10
C1 5 2 +1V8 +3V3
ON/OFF OUT
*

LED+
LED-

VDD
VSS
R/W
330μH

VO
RS
D7
D6
D5
D4
D3
D2
D1
D0
D1 C2

E
100μ GND GND
63V 3A 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1
3 6 330μ C14 C15 C16 +5V
100V
25V
+1V8 100n 100n 100n

LCD_D7
LCD_D6
LCD_D5
LCD_D4

LCD_RS
LCD_E
P2

R2

10k
5 40 17 42 10k
+5V +3V3 T1
VDD(1V8) VDD(3V3) VDD(3V3) VDDA +3V3
CORE I/O I/O
L2 6 4 +5V
1 9 RST VBAT
VIN L
6 IC2 4 22μH C13 R9 2N7002 R6
ILIM PG 26 20 C17
8 5 RTCK IC5 RTXC1
*

10k
EN FB 27 25
100n DBGSEL RTXC2
C3 TPS62007 C4 100n
DGS Opcional B
8 18
7 2 P0.27/TRST/CAP2.0 P0.2/SCL0/CAP0.0
100μ SYNC FC 10μ +6V...+42V 9 21
16V P0.28/TMS/CAP2.1 P0.3/SDA0/MAT0.0 S1
GND PGND C5 10
P0.29/TCK/CAP2.2
3 10 R3 15 48 LCD_D7
P0.30/TDI/MAT3.3 P0.18/CAP1.3/SDA1 Opcional C
100n 16 47 LCD_D6
100k

P0.31/TDO P0.17/CAP1.2/SCL1
P0.16/EINT0/MAT0.2
46 LCD_D5 * ver texto
32 45 LCD_D4
P0.22/AD0.0 P0.15/RI1/EINT2 +5VUSB +3V3 +1V8
P1 33 41 LCD_RS
R8 P0.23/AD0.1 P0.13/DTR1/MAT1.1
34 39 LCD_E +3V3 IC3
* P0.24/AD0.2 P0.26/AD0.7 1 5
8k25

+6V...+42V 38 IN OUT
P0.25/AD0.6
30 R5 TPS79118
10k P0.9/RXD1/MAT2.2 DBVR
K2 35 29 3 4
K3

10k
P0.10/RTS1/CAP1.0/AD0.3 P0.8/TXD1/MAT2.1 EN BYPASS
1 36
P0.11/CTS1/CAP1.1/AD0.4 C6 C7 C8
+1V2 +3V3
37
P0.12/DSR1/MAT1.0/AD0.5 P0.0/TXD0
13 GND
O paine
2 14 100n 2 10n 1μ
LPC2103
FBD48
P0.1/RXD0
minação
Carga/
Fonte R7
22
P0.4/SCK0/CAP0.1 P0.14/DCD1/SCK1/EINT1
44
zir o co
23 1
24
P0.5/MISO0/MAT0.1 P0.19/MAT1.2/MISO1
2 pode se
10k

1 8 P0.6/MOSI0/CAP0.2 P0.20/MAT1.3/MOSI1
Série TTL
28 3
VREF VDD P0.7/SSEL0/MAT2.0 P0.21/MAT3.0/SSEL1 IC4
R4 MAX6520EUR-T
2 IC6 5 VSS VSS X1 X2 VSSA VSS
R1 VIN+ SCK +3V3 +1V2
K1
1k

7 19 11 12 31 43
50m Ω

6 X1
1 SDO/RDY
JP1
3 7 D2
2W VIN– CS C9 C10
2 MCP3550 C11 C12

O je
50E/SN Erro
VSS 100n 100n
22p 22p Bootloader
Bateria 4
X1 = 14.7456MHz 080824 - 11

Figura 1. Diagrama do circuito de monitoração para bateria. De um total de seis circuitos integrados,
quatro são reguladores de tensão. O circuito de medição propriamente dito consiste num microcontrolador
an
de 32 bits ARM7 (IC5) e o conversor A/D de 22 bits (IC6).

possa ser obtida a partir da interface de o circuito integrado IC1 apenas consome ria após a programação do microcontrola-
programação. Isto permite programar o uns poucos miliamperes dela: o resto do dor. Em alternativa, se a possibilidade de Ch
microcontrolador sem ser necessário ter circuito ainda vai consumir a sua energia a alimentar a unidade através da interface de
uma bateria ligada. Se estiver uma bate- partir da interface de programação. Não se programação não for necessária, o JP1 pode c
ria ligada enquanto a energia está sendo esqueça de voltar a mudar a ponte de liga- ser substituído por uma ligação por fio jun-
fornecida pela interface de programação, ção para o modo de alimentação via bate- tando as linhas +5 VBATT e +5 V.
che
revis
pro
R4
D2 K2
JP1 L1 Um
R5
C1
trab
K3

R1 JP2
C6
R7 C7

6
IC

15

K1
C

co
C2
PR 16
C

IC3
IC

16
5

C10 X1 C8 IC2 L2 D1
14
C3

R3 IC4 R9
C9

RE
12

R8 R2
C

C5 C4
11

P2 S1
C

P1 C13 T1
IC1 C17
R10
K4 R6
L+
A
Figura 2. A placa de circuito impresso está populada na sua maioria com componentes SMD e tem a mesma dimensão
que o painel LCD.

24 03-2010 elektor

080824 circuito de monitorizacao para bateria UK.indd 24 12/02/10 18:37


Lista de componentes
Resistências: C7= 10 nF/50 V 10%, XR7, multicamada, SMD IC6= MCP3550-50 (ADC delta-sigma de 22
(SMD 0805, 0,125 W, 1%, salvo indicação em 0805 bits)
contrário) C8= 1 µF/16 V 10%, XR7, multicamada, SMD
R1= 0,05 Ω/2 W, 1% (shunt SMD) 0805 Diversos:
R2;R5;R6;R7= 10 kΩ C11;C12= 22 pF/50 V 5%, multicamada, SMD X1= Cristal de quartzo 14,7456 MHz (capaci-
+5V R3= 100 kΩ (ver texto) 0805 dade de 18 pF, 30 ppm)
R4= 1 kΩ S1= Botão de pressão para montagem PCI
P2 R8= 8,25 kΩ (ver texto) Bobinas: K1;K2= Bloco de terminais de 2 vias, passo
R9;R10= 0 Ω (ou 1 Ω, R10 também pode ser L1= 330 µH, 590 mA, 20%, SMD 10x10 mm 5 mm
de 220 Ω, ver texto) L2= 22 µH, 925 mA, 30%, 5x35 mm K3= Barra de terminais de 6 vias, em ângulo
10k
P1= ajustável multivolta 10 kΩ, 19 mm (ver K4= Barra de terminais de 16 vias, para mon-
texto) Semicondutores: tagem do LCD
P2= ajustável 100 kΩ, montagem na horizon- D1= Díodo Schottky 3 A, 100 V, 620 mV, JP1= Barra de terminais de 2 vias, com ponte
tal, 10 mm SMD, por exemplo 30BQ100TRPBF de ligação
D2= LED vermelho de baixa corrente (2 mA) JP2= Barra de terminais de 3 vias, com ponte
Capacitores: T1= 2N7002 de ligação
C1= 100 µF/63 V 20%, alumínio, SMD (G) IC1= TL2575HV-05
C2= 330 µF/25 V 20%, alumínio, SMD (F) IC2= TPS62007 LCD 2x16 caracteres, com iluminação de
C3= 100 µF/16 V 10%, tântalo, SMD IC3= TPS79118 fundo (por exemplo, o disponibilizado pelo
texto C4= 10 µF/16 V 10%, X5R, multicamada, SMD IC4= MAX6520 Serviço Elektor Refª 030451-72)
1210 IC5= LPC2103FBD48 (70 MHz, ARM7, 16 kB PCI (Ref.ª 080824-1).
+1V8
C5;C6;C9;C10;C13a C17= 100 nF/50 V 10%, Flash, 8 kB RAM) programado
XR7, multicamada, SMD 0805 (Refª 080824-41)

C7 C8
O painel LCD usado possui uma luz de ilu- ao pino P0.2 do microcontrolador, con- FET T1 forneça uma iluminação de fundo
10n 1μ
minação de fundo. No interesse de redu- trola uma rotina do software que faz ajustável. Se a capacidade de desligar
zir o consumo de potência a iluminação aparecer um sinal PWM no pino P0.9. a iluminação de fundo não for neces-
pode ser desligada. O botão S1, ligado Isto, por sua vez, faz com que o MOS- sária, a resistência R10 pode ser substi-

1V2

10

O jeito mais fácil de completar sua coleção e ainda ficar


0n

080824 - 11

andando com ela por todos os laboratórios e oficinas.


APROVEITE! OFERTA POR TEMPO LIMITADO: R$ 63,00
ontrola-
dade de Chegou o novo CD Rom da
rface de revista Elektor, agora
P1 pode completando a coleção e
r fio jun-
chegando até a edição 80 da
revista, com todos os artigos e
projetos integralmente como
foram publicados.
Uma autêntica ferramenta de
trabalho para quem quer tirar
dúvidas e consultar sobre
componentes com toda a
PR 16

agilidade e precisão.

RESERVE LOGO O SEU!


ATENDIMENTO AO CLIENTE
Tel/Fax: (11) 4195-8591
www.assinebolina.com
www.elektorbrasil.com.br
elektor

080824 circuito de monitorizacao para bateria UK.indd 25 12/02/10 18:37


medição & teste

MCP3550
Um conversor A/D delta-sigma simples
e preciso

O MCP3550 é um pouco lento, mas é


um conversor analógico/digital bastante
econômico e preciso. O seu consu-
mo de corrente é de apenas 110 µA! O tuída por uma com um valor de 220 Ω
MCP3550-50 foi escolhido para este pro- (em vez de 0 Ω) e o MOSFET substituído
jeto porque possui uma entrada diferen-
por uma ligação por fio juntando o termi-
cial e um filtro elimina-faixa para suprimir
a frequência de 50 Hz da rede. nal fonte e dreno do MOSFET. A resistência
R9, assim como R6 e S1, podem também
O seu interior, tal como se mostra no ser dispensados. Se a iluminação de fundo
diagrama de blocos, parece ser bastan- não for necessária, todos os componentes
te simples. Na sua entrada temos um
relacionados (S1, R6, R9, R10 e T1) podem
ser dispensados.
Entrada De modo a que o módulo não fosse maior
de referência
do que o LCD, a placa de circuito impresso
Entrada Fluxo Código de Código
Calibração
Transferência
Modulador Filtro digital conversão de saída (Figura 2) está quase totalmente popu-
diferencial analógica
do ganho
de carga
de terceira
de bits
(SINC4)
Interface Figura 3
e desvio ordem ∆∑
SPI/3-Wire lada com componentes SMD. Isto exige
uma certa destreza com o ferro de sol-
Clock dar, especialmente quando se trata do mostra
LPC2103 com o seu encapsulamento pequen
Oscilador
interno LQFP48. Apesar do espaçamento de 0,5 apresen
mm entre pinos, é o alinhamento do dis-
080824 - 14

positivo com os terminais das pistas na Softwa


circuito de calibração de ganho e desvio. Este compensa eventuais desvios e alguns placa que consiste na parte mais compli- O núcle
erros que possam existir no resto do circuito. A conversão A/D é realizada, com a ajuda cada da operação, e não a soldagem em si. uma ele
de uma tensão de referência, pelo modulador de terceira ordem delta-sigma, um con- A maneira mais fácil de soldar o dispositivo mento p
versor de um bit, que gera na sua saída um fluxo de bits a uma taxa de amostragem consiste em derreter um pouco de solda cidades
elevada. O filtro digital reduz então a taxa de amostragem deste fluxo de bits, incre-
mentando simultaneamente o seu comprimento da palavra (e assim a precisão) para sobre todos os pinos num dos lados de em C e o
22 bits. O circuito digital é controlado por um sinal de clock gerado a partir de um os- uma só vez (sem se preocupar com eventu- tuitame
cilador interno, que funciona a uma frequência de 102,4 kHz, no caso do MCP3550-50. ais curto-circuitos) e remover o excesso de é muito
Ainda mais simples é o diagrama de blocos do modulador delta-sigma de primeira solda usando malha para retirar o excesso nas o có
ordem. O sinal de entrada entra numa das entradas de um subtrator de tensão. A outra de solda. É melhor montar o microcontro- escrito e
lador primeiro, antes de todos os outros mos a A
Integrador Quantificador
componentes, de forma que estes não parte do
Filtro atrapalhem. Depois solde todos os circui- C GCC g
digital tos integrados e, finalmente, os restantes O micro
componentes SMD. Finalmente, monte os nito, e u
componentes convencionais. A Figura 3 função
ção e ap
DAC 1 bit o períod
Timer 0
080824 - 15
seu cont
segundo
entrada do subtrator é alimentada pela saída de um conversor A/D de 1 bit, alimentado
a partir da saída do modulador, formando assim uma malha de realimentação negativa. tador ch
A saída do subtrator pode ser encarada como sendo um sinal de erro, consistindo na A rotina
diferença entre a saída do modulador e a sua entrada. O erro é integrado e quantificado do conv
de modo a formar a saída do modulador. Esta malha funciona a uma frequência muito da corre
mais elevada do que a taxa de amostragem do conversor A/D e gera um fluxo contínuo
uma vez
de bits de alta-frequência.
O MCP3550 utiliza um modulador delta-sigma de terceira ordem, que consiste numa dados p
extensão da idéia descrita acima. Em vez de um estágio subtrator e integrador, existem necessá
três ligados em série. Existe um quantificador depois do estágio final que alimenta de enable)
volta os três estágios. necessá
O fluxo de bits produzido é filtrado por um filtro digital para produzir um sinal útil. No
são dec
MCP3550 este corresponde a um filtro sinc de quarta ordem. Um filtro sinc (ou seja, um
filtro cuja resposta impulsiva tem a forma de uma função sinc) tem uma característica da conv
passa-baixa ideal. É também aqui que a filtragem elimina-faixa dos 50 Hz ocorre. A Figura 4. Configurações necessárias dados a
saída do filtro é uma representação de alta resolução da tensão de entrada, que pode para o software Flash Magic carregar rar o mo
ser lida através da porta SPI. o firmware na memória Flash se quise
do microcontrolador. Assim q

26 03-2010 elektor elektor

080824 circuito de monitorizacao para bateria UK.indd 26 12/02/10 18:37


e 220 Ω
stituído
o termi-
sistência
ambém
e fundo
onentes
) podem

se maior
mpresso
e popu-
Figura 3. O nosso protótipo montado.
o exige
de sol-
rata do mostra o nosso protótipo, que difere em bits de dados podem ser lidos. Existe um
amento pequenos detalhes da montagem final total de três blocos de dados com oito bits,
o de 0,5 apresentada na Figura 2. que são colocados nas variáveis adequa-
o do dis- das. O vigésimo segundo bit dá-nos a pola-
istas na Software ridade da tensão medida na entrada do dis-
compli- O núcleo ARM usado no LPC2103 oferece positivo: se o bit tiver o valor lógico um o
m em si. uma elevada capacidade de processa- valor da tensão é negativo e o valor lido
positivo mento para que se possa expandir as capa- deve ser devidamente modificado, o com-
de solda cidades do módulo. O firmware foi escrito plemento para dois é obtido calculando
ados de em C e o código fonte está disponível gra- o complemento de cada bit (ad_current
eventu- tuitamente no site da Elektor. O programa = ~ad_current;) e depois incrementado
cesso de é muito fácil de perceber e modificar. Ape- por um. Uma vez que apenas queremos os
excesso nas o código de arranque tem que estar 22 bits menos significativos do resultado,
ocontro- escrito em assembly (pelo qual agradece- usamos uma máscara para eliminar os res-
s outros mos a Alexander Graf, que escreveu essa tantes usando uma função lógica AND: ad_
tes não parte do código). Foi usado o compilador current & = 0x3fffff;.
s circui- C GCC gratuito. Agora podemos calcular o fluxo de cor-
estantes O microcontrolador executa um ciclo infi- rente real. Para evitar efetuar operações
monte os nito, e uma vez por segundo chama uma em vírgula flutuante trabalhamos com
igura 3 função que executa o processo de medi- valores de corrente em nA, e de tensão
ção e apresenta os resultados. Para obter em µV. O erro decorrente do resultado da
o período de um segundo, o temporizador medida é insignificante, desviando-se o
Timer 0 está configurado de maneira que o valor exato por menos do que a tolerân-
seu contador é incrementado a cada milis- cia da tensão de referência ou do divisor
segundo. O ciclo infinito verifica se o con- de tensão.
tador chegou a 1000 (1000 x 1 ms = 1 s).
A rotina de cálculo primeiro lê um valor Toda a informação apresentada é também
do conversor A/D para obter uma leitura enviada através da saída pela porta série no
da corrente. Isto é relativamente simples, seguinte formato:
uma vez que não há necessidade de enviar
dados para o MCP3550: simplesmente é Tensão: 12599 mV
necessário colocar o seu sinal /CS (chip Corrente: -0050 mA
enable) ao nível lógico baixo. É então Potência: -0629 mW
necessário esperar enquanto a conver- Capacidade: -0035 mAh
são decorre. O MCP3550 sinaliza o final Os dados de saída podem ser enviados para
da conversão forçando a linha de saída de um registrador de dados para posterior
rias dados ao nível baixo. Precisamos de alte- análise. Se forem usados dois módulos, é
egar rar o modo da porta para o valor correto possível efetuar medições simples e preci-
se quisermos detectar esse evento. sas da eficiência de fontes de alimentação
Assim que a conversão esteja terminada os comutadas.

elektor elektor 03-2010

080824 circuito de monitorizacao para bateria UK.indd 27 12/02/10 18:37


medição & teste

Programação
O circuito de monitoração de bateria tem
uma interface série que utiliza níveis de
tensão TTL, em vez de níveis de tensão
RS232. Apesar de ser alimentado a 3,3 V,
as portas do LPC2103 são compatíveis com
lógica alimentada a 5 V.
A interface série é usada para efetuar o car-
regamento do firmware para o dispositivo,
assim como para enviar as leituras realiza-
das. Para programar o dispositivo num bar-
ramento USB pode usar o cabo série USB-TTL
publicado na edição portuguesa de Setembro
de 2008 da Elektor [1]: disponível no Serviço
Elektor (Refª 080213-91). A configuração dos
pinos do cabo é compatível com o conector
Figura 5. O painel LCD funcionando normalmente.
K3 na placa de circuito impresso. Claro que,
pode também ler os pinos do conector na
Figura 1 e usar o seu próprio cabo série adap- mensagem e, em seguida, exibir os valo- LPC2103:
tador, desde que use níveis lógicos de 5 V. res medidos. Se não aparecer nada no LCD, www.nxp.com/acrobat/datasheets/
Quando a montagem do circuito estiver verifique o contraste do painel ajustando LPC2101_02_03_3.pdf
concluída o firmware deve ser carregado na novamente P2. Se a mensagem de boas- MCP3550:
memória do microcontrolador. Coloque a -vindas não desaparecer do LCD, é provável http://ww1.microchip.com/downloads/en/
ponte de ligação em JP1 e depois alimente que exista uma falha na ligação ao circuito DeviceDoc/21950D.pdf
o circuito. Se o circuito for para ser alimen- integrado IC6. TPS62007:
tado através do cabo USB-TTL, a ponte Se tudo estiver funcionando corretamente, www.ti.com/lit/gpn/tps62007
de ligação JP2 deve estar na posição 2-3 o painel LCD deve apresentar o consumo TPS79118:
(ligar +5 V a +5 VUSB). Nesta altura ape- de corrente do módulo e a tensão de ali- www.ti.com/lit/gpn/tps79118
nas a primeira linha do LCD deve aparecer mentação na linha superior. A segunda MAX6520:
no mostrador: se esta não estiver visível, linha mostra a carga (em Ah) que foi trans- http://datasheets.maxim-ic.com/en/ds/
ajuste o contraste através de P2. O firm- ferida e o consumo de energia (em mW ou MAX6520.pdf
ware pode ser carregado usando o soft- W): veja a Figura 5. O consumo de corrente DEM16217:
ware gratuito Flash Magic [2]. A Figura 4 depende fortemente da tensão de alimen- www.display-elektronik.de/DEM16217SYH-
LY.PDF
mostra como este programa deve ser con- tação; com 12 V temos um consumo de
figurado: apenas a porta COM a usar e o cerca de 15 mA.
caminho precisam de ser definidos. Se P1 estiver montado, este deve ser agora
Se não quiser programar o microcontrola- ajustado para que a tensão apresentada no
dor, pode adquiri-lo já programado através LCD esteja em concordância com a tensão
Sobre o autor
do Serviço Elektor (Refª 080824-41). real em K1 (medida pelo seu multímetro). Steffen Graf tem 20 anos e frequenta o seu
(080824-1) segundo semestre do curso de Engenharia
Arranque Artigo original: Battery monitor – september 2009 Eletrônica e Tecnologia da Informação, na
Quando a programação estiver concluída, Universidade Técnica de Darmstadt, Ale-
remova a ponte de ligação JP1 e verifique Internet manha. A eletrônica tem sido um dos seus
se JP2 está corretamente configurado (+5 V [1] http://www.elektor.com.pt/revistas/
principais passatempos durante muitos anos.
ligado a +5 VBATT). Ligue a bateria (recarre- 2008/setembro/cabo-serie-usb-ttl.665066.
Em 2008, entrou num concurso regional de
gável ou não), ou uma fonte de alimentação lynkx
ciência para jovens, na Alemanha, com um
de bancada com uma tensão de saída entre [2] http://www.flashmagictool.com/
6 V e 40 V, ao conector K1. Se uma fonte de download.html circuito de monitoração de capacidade para
tensão já estiver ligada aos terminais de K1, baterias, premiado com o segundo
vai ser necessário desligá-la por breves ins- Datasheets lugar na categoria “Mundo do Trabalho”. Ga-
tantes para efetuar o reset do circuito. TL2575HV-05: nhou também um prémio especial para uma
O LCD deve agora mostrar uma breve www.ti.com/lit/gpn/tl2575hv-05 invenção prática e útil.

28 03-2010 elektor

080824 circuito de monitorizacao para bateria UK.indd 28 12/02/10 18:37


[ tracoleal.com.br ]
Ideias para projeto

Proteção para
reguladores
de tensão
µA78Lxx
VI VO D1

µA78Lxx
D1

Comunicações
VI VO

Módulo SCO-0601

O módulo foi desenvolvido para estudos


080943 - 12
dos princípios de comunicações analógi-
1 080943 - 11
-VO 2
cas e digitais, facilitando a compreensão
dos assuntos relacionados com as dis-
Ton Giesberts (Elektor) ciplinas de telecomunicações. Estuda os
tipos de formas de ondas envolvidas nos
Em muitos casos, a carga ligada a um regulador de tensão não retorna pelo terminal de processos de modulação e demodulação,
massa, mas por um caminho com uma tensão inferior, ou talvez mesmo pela linha de ali- multiplexação, códigos de linha, entre
mentação negativa da fonte (aqui, neste caso, assumimos que estamos usando apenas
um nível de tensão de alimentação positivo; quando se utilizam reguladores de tensão outros, bem como suas características e
com níveis de tensão negativos na saída o inverso é verdadeiro). propriedades fundamentais. Visite-nos na
internet e conheça as soluções DATAPOOL
Amplificadores operacionais, deslocadores de nível, entre outros circuitos, vêm-nos à
mais adequadas para sua necessidade.
cabeça. Nesses casos, um díodo 1N4001 (ou equivalente) ligado aos terminais de saída do
regulador garante, geralmente, um nível de proteção suficiente (Figura 1). Inversões de
polaridade que possam ocorrer, por exemplo, durante o arranque do circuito ou durante OUTROS MÓDULOS PARA COMUNICAÇÕES
um curto-circuito podem revelar-se fatais para o regulador integrado, mas este tipo de Módulo 8801
diodo impede que a saída do circuito integrado atinja valores muito inferiores ao nível de
massa (na verdade, 0,7 V abaixo do nível de massa, para ser mais preciso). Um regulador
de tensão à prova de curto-circuito (como os da série 78xx) sobrevive a uma situação
destas sem qualquer problema.
É também possível que a tensão de entrada de um regulador de tensão caia mais rápido
do que a tensão de saída, por exemplo, quando existe um circuito de proteção que curto-
circuita a tensão de alimentação de entrada como resultado de uma sobretensão na saída.

Se a tensão de saída do regulador for mais de 7 V superior à tensão de entrada, então a


junção emissor-base do transistor de potência interno pode danificar-se e fazer com que
o transistor falhe. Para prevenir esta situação pode-se usar um diodo como é mostrada
na Figura 2. Isto garante que qualquer tensão superior na saída do regulador é curto-
circuitada para a entrada.
(080943-1)
Artigo original: Protection for voltage regulators – April 2009

elektor 03-2010 www.datapool.com.br

080943_reguladores_tensao.indd 29 12/02/10 18:37

Elektor_outubro.indd 1 20.10.09 10:28:02


PASSATEMPO E MODELISMO

Dispositivo de
monitoração AC portátil
Fiz a minha mochila, e lá dentro pus…

Figura 1
a dificul
Ton Giesberts (Elektor)
Todos os leitores que têm por hábito de viajar já passaram certamente pela experiência de ligarem demasia- As toma
nos elet
dos aparelhos elétricos ao mesmo tempo. Liga-se a placa elétrica quando a frigideira está ligada, e o fusível tivos qu
têm nor
da tomada funde-se. Segue-se o aborrecimento de ter substituir o fusível, ou ainda quando danifica-se a rente lim
rede elétrica do local, o que normalmente tem custos elevados. Este dispositivo de vigilância de tensão pode é exced
norma t
tornar estes desagradáveis acontecimentos uma coisa do passado. do. Par

30 03-2010 elektor elektor

060316_dispositivo_monitorizacao.indd 30 12/02/10 18:38


Especificações técnicas
. Compatível com linhas de alimentação de 230 VAC ou 110 VAC
. Limitação de corrente para 3 A, 4 A, 5 A, 6 A, 7 A, 8 A ou 10 A
. LED indicador
. Nível de ativação configurável através de ponte de ligação ou interruptor rotativo

s… F1

10A
-VS

C4 C5
L1
R1 R2 D1 220u P1 275V 220n
25V X2
4k7 4k7 22u
R6
1N4007 100k 11A
5

100k
TRI1
-VS

R3 R4
7 6
330k 330k VSYNC IC1 RPHASE
U2008B R5
3 8
CONTROL OUT 100R
BTA16-600BW
CPHASE

R26
ISENSE

GND
1k

R7 R8 R9
D7 C3 C1 C2
20k0
0R1

0R1

100n 1u 10n
BAT85 63V

R22
1M R10
C8 10k0

2
1n D3
5 1
-VS R25 R23 D4 IC2A
7 3
820k 100R IC2B BAT85
6
BAT85 R11
30k1

R24 T1 IC2 = TS922IN


C9
100k

22u
40V BF245A R21 -VS
D6
3k3

LIMITING D2
C10
JP1 4V7
-VS R20 R19 100n
0W5
10
12
14
2
4
6
8

10k 100k C7
D5 4 C6
10u
5V6 63V IC2
1
3
5
7
9
11
13

8 100n
0W5

R18 R17 R16 R15 R14 R13 R12


1k40 698R 715R 715R 698R 698R 1k91
10A 8A 7A 6A 5A 4A 3A
060316 - 11

Figura 1. O diagrama elétrico do dispositivo de monitoração AC ilustra de forma clara


a dificuldade de limitar a tensão da rede.

masia- As tomadas disponibilizadas para peque- desenhamos o controlador apresentado


nos eletrodomésticos, ou outros disposi- neste artigo, que pode limitar rapidamente
usível tivos que necessitem da tensão da rede, a corrente máxima consumida.
têm normalmente uma capacidade de cor-
ea rente limitada. Sempre que essa corrente Funcionamento
o pode é excedida, um fusível dispara, que por Este circuito garante que a corrente forne-
norma tem de ser reiniciado ou substituí- cida à carga não exceda um valor pré-con-
do. Para prevenir esta inconveniên cia, figurado. Isto significa que certos disposi-

elektor elektor 03-2010

060316_dispositivo_monitorizacao.indd 31 12/02/10 18:38


PASSATEMPO E MODELISMO

tivos eletrônicos como televisões, rádios tra sobrecargas. A inclusão de um meca- corrente pode ser calculada pela seguinte seguida
ou lâmpadas não devem ser ligados a este nismo de (re)disparo automático significa fórmula: tensões
controlador. O circuito é principalmente que as cargas indutivas não vão levantar tando m
destinado a eletrodomésticos sedentos problemas. Este circuito integrado oferece (Umains – Usupply) × √2 / [π (R1+R2)] relevant
de energia, tais como fornos e fogões elé- também uma função de arranque suave Optou-
tricos (sem controle eletrônico) e panelas (soft-start), basta ligar um capacitor entre Para facilitar os cálculos ignorou-se a rente aj
de pressão elétricas. O consumo destes o pino 1 e a massa, ou detecção da cor- queda de tensão em D1. Esta fórmula é placa qu
eletrodomésticos pode chegar aos 3 kW, rente na carga através de uma resistência muito semelhante à que pode encontrar limites a
pelo que a ligação de apenas um deles de amostragem em série com o triac (tam- no datasheet do U2008B para calcular a com 14
pode traduzir-se numa queda imediata da bém entre o pino 1 e a massa). Neste caso, resistência. sibilidad
tensão da rede. optou-se pela função de arranque suave. O valor eficaz da tensão de meia onda tivo) par

Os voltímetros não se enganam, ou será que se enganam?


A tensão de alimentação para o U2008B é regulada internamente. isto é, que calcula o verdadeiro valor eficaz, espera-se encontrar a
O nosso circuito praticamente não difere da aplicação tradicional, tensão de pico dividida por dois, isto é, 147 V. O estranho é que o
descrita no datasheet. A única diferença é que este circuito requer multímetro True RMS apresentou uma leitura de 115 V. A nossa pri-
uma corrente mais elevada. Projetou-se uma corrente de 10 mA meira ideia foi: “Talvez algo esteja errado com este multímetro, que
para a alimentação do circuito de controle, conforme mencionado apesar de tudo já tem alguma idade”. Repetiu-se a medição com um
no artigo. Contudo, durante o teste descobrimos alguns fatos inte- aparelho mais recente, embora do mesmo fabricante, e o resultado
ressantes sobre os voltímetros True RMS. foi o mesmo. Um aparelho de medida mais sofisticado já alcançou
C4 é carregado por uma corrente retificada de fase única. Teori- o valor correto. Este separa a componente contínua (DC) e a com-
camente, o valor médio de uma onda senoidal pura é 2Upico/π, ponente alterna (AC), esta última apresentou o valor esperado de
o que também se aplica a uma onda senoidal com retificação de 147 V. Parece que muitos multímetros True RMS (valor eficaz) não
onda completa, e o valor eficaz também é idêntico em ambos os lidam bem com uma componente contínua diferente de zero. Os
casos: Upico/√2. É daqui que vem o conhecido fator de pico de que estão preparados para tal têm normalmente uma indicação ex-
1,11 (π/2√2). Mas é tudo muito diferente quando se trata de uma plícita no aparelho, pelo que deve ter sempre isso em conta quando
onda senoidal de meia onda. O valor médio, nesse caso, desce para adquirir um novo multímetro.
Upico/π. O valor eficaz, contudo, é bastante superior (Upico/2). Se Este problema também se manifesta nos sinais digitais, sinais retan-
nestas condições recorrer a um multímetro AC convencional para gulares e afins, não apenas em sinais senoidais de meia onda. Este
medir a tensão entre R1 e R2 (e deixar o terminal desligado), vai problema serve para ilustrar de forma bem clara que deve saber
medir o valor médio. Aqui, na sede da Elektor, em que a tensão da sempre o que está medindo, e selecionar um instrumento de medi- Figura 2
rede tem um valor nominal um pouco baixo, o valor medido foi de da apropriado, uma vez que uma escolha descuidada pode originar ocupa o
apenas 220 VAC em vez de 230 VAC. A tensão de pico entre R1 e R2 é uma medida incorreta.
assim √2×220 – 16,6 V. O valor médio deve ser cerca de 94 V, que foi List
também o valor medido. Se utilizar agora um multímetro True RMS, Atençã
utilizaç
Consu
Para evitar esta situação o melhor é ligar o A corrente na carga é medida através de é Upico/2. O valor médio, contudo, é para fa
controlador aqui apresentado entre esses uma resistência de amostragem (shunt) Upico/π. Devido à retificação de meia modo
dispositivos e a tomada da rede. Os ele- em série com o triac. Um circuito de detec- onda, o calor gerado nas resistências R1 de 110
trodomésticos eletrônicos com consumos ção separado comanda o pino de controle e R2 (U2/R) é cerca de 2,5 vezes superior Resistê
inferiores podem então ser ligados dire- (pino 3) do circuito integrado. ao que seria para uma corrente contínua R1;R2=
tamente à tomada. Ainda assim, é impor- A tensão de alimentação (negativa) com um valor igual ao do valor médio da 30 m
tante também ter em conta o consumo de deste circuito é regulada internamente corrente alterna. De um ponto de vista de R3;R4=
corrente destes dispositivos menores. Se a pelo U2008B. No nosso protótipo a ten- segurança, optou-se por utilizar duas resis- R5;R23
soma desses valores for, por exemplo, de 1 são era ligeiramente inferior a 16 V. tências de 5 Watt para R1 e R2, apesar da R6;R24
R7;R8=
A, o controlador deve ser configurado para Este integrado requer pelo menos 3 dissipação total de energia ser de apenas 30 m
um valor inferior ao máximo permitido mA, o ampop rail-to-rail (TS922IN) pre- 2,5 W. R9= 20
pela tomada do local menos um ampere. cisa no máximo 3 mA (em vazio), o LED A tensão para o amplificador operacional R10= 1
4 mA e o subcircuito de geração da tensão não deve exceder os 12 V. Foi ligado um R11= 3
Circuito de referência 1 mA. Somando todos estes diodo zener (D2) em série com a tensão de R12= 1
R13;R1
No coração deste circuito está o integrado valores, subiu-se a corrente da alimenta- alimentação para manter a tensão dentro R15;R1
IC1, um U2008B da Atmel (Figura 1). Este ção para o U2008B para 10 mA. Para tal de limites seguros. R18= 1
controlador de fase de 8 pinos requer um usaram--se duas resistências de 4,7 kΩ/5 R19= 1
número reduzido de componentes exter- Watts e o díodo D1 em série com a ten- Limitação de corrente R20= 1
nos. O circuito integrado tem capacidade são da rede. A tensão aos terminais de C4 Este circuito foi desenhado para uma ten- R21= 3
R22= 1
para medir a corrente na carga, o que é é efetivamente determinada pela corrente são de rede de 230 VAC. A fórmula acima
R25= 8
ideal para implementar uma proteção con- média que circula através de R1 e R2. Esta descrita, e o que se vai descrever em

32 03-2010 elektor elektor

060316_dispositivo_monitorizacao.indd 32 12/02/10 18:38


eguinte seguida, deve permitir a adaptação para talação. O shunt para monitorar a corrente cador inversor e a tensão aos terminais de
tensões alternadas de 110 ou 117V, bas- consiste em duas resistências de 0,1 Ω/5 W R11, através de D3, é determinada pelos
tando mudar os valores dos componentes ligadas em paralelo. Com uma corrente de valores relativos de R10 e R9. O sinal é
2)] relevantes. 10 A a perda de potência é de apenas 5 W, assim atenuado por um fator de 2. A ate-
Optou-se por não ter um limiar de cor- e no valor inferior da escala a tensão pode nuação é necessária dada a simplicidade
ou-se a rente ajustável, mas antes um jumper na ainda ser medida sem precisar de amplifi- do retificador de onda completa, uma vez
rmula é placa que permite selecionar um de sete cação adicional. que com este projeto em particular apenas
ncontrar limites através de um bloco de terminais O sinal medido é em primeiro lugar retifi- é necessário um diodo.
lcular a com 14 pinos. Fica assim em aberto a pos- cado. IC2a implementa um simples retifi- Quando o sinal é negativo a saída de IC2a
sibilidade de utilizar um interruptor (rota- cador de onda completa. Quando o sinal vem à massa, e D3 é polarizado inversa-
ia onda tivo) para alterar a configuração após a ins- é positivo IC2a funciona como um amplifi- mente. R9/R11 formam agora um divi-

Figura 2. Nesta figura pode observar que a disposição dos componentes e o traçado das pistas (verde claro) da secção de potência
ocupa o maior espaço de modo a cumprir todos os requisitos de segurança elétrica.

Lista de componentes
Atenção: Este circuito foi desenhado para R26= 1 kΩ Semicondutores:
utilização direta na rede elétrica de 230 V. P1= ajustável de 100 kΩ D1= 1N4007
Consulte a descrição do circuito no texto D2= Diodo zener 4,7 V/0,5 W
tudo, é para fazer as adaptações necessárias de Capacitores: D3;D4;D7= BAT85
de meia modo a convertê-lo para uma tensão da rede C1= 1 µF/63 V, radial, separação entre pinos D5= Diodo zener 2,7 V/0,5 W
ncias R1 de 110-117 V. 2,5 mm, diâmetro 6,3 mm D6= LED vermelho, baixo consumo
C2= 10 nF, separação entre pinos 5 mm ou T1= BF245A
superior Resistências: 7,5 mm TR1= BTA16-600BWRG (TO220AB isolado)
ontínua R1;R2= 4,7 kΩ/5 W, separação entre pinos C3;C6;C10= 100 nF, separação entre pinos 5 (Farnell #1175636)
médio da 30 mm mm ou 7,5 mm IC1= U2008B (Atmel)
vista de R3;R4= 330 kΩ C4= 220 µF/25 V, radial, separação entre pi- IC2= TS922IN (ST)
as resis- R5;R23= 100 Ω nos 2,5 mm, diâmetro 8,5 mm
R6;R24= 100 kΩ C5= 220 nF/275 VAC X2, separação entre pi- Diversos:
pesar da
R7;R8= 0,1 Ω/5 W, separação entre pinos nos 22,5 mm K1= Bloco de terminais de 14 vias (2×7) +
apenas 30 mm C7= 10 µF/63 V, radial, separação entre pinos jumper
R9= 20 kΩ 2,5 mm, diâmetro 6,3 mm F1= 10A (1¼” x ¼”, Farnell #1175149 + 2 su-
racional R10= 10 kΩ C8= 1 nF, separação entre pinos 5 mm ou portes fusível 15 A, Farnell # 1175125)
ado um R11= 30,1 kΩ 7,5 mm Quatro conectores AMP, fixação por parafuso
R12= 1,91 kΩ C9= 22 µF/40 V, radial, separação entre pinos M4, quatro parafusos, porcas, anilhas e
nsão de
R13;R14;R17= 698 Ω 2,5 mm, diâmetro 6,3 mm anilha autoblocante M4 de 10 mm.
o dentro R15;R16= 715 Ω Isolador cerâmico 4,5 mm (AOS220SL,
R18= 1,40 kΩ Bobinas: Fischer Elektronik).
R19= 100 kΩ L1= 22 µH/11 A (por exemplo, 1422311C da Dissipador de calor para 10 A: Rth < 3,7 K/W
R20= 10 kΩ Murata, Farnell 1077056), ou 22 µH/10,3 (< 9,4 K/W para corrente máxima 6 A).
ma ten- R21= 3,3 kΩ A (por exemplo, 2205-V-RC da J.W.Miller PCI (Refª 060316-1).
R22= 1 MΩ Magnetics, Digi-Key # M8868-ND)
a acima
R25= 820 kΩ
ver em

elektor elektor 03-2010 33

060316_dispositivo_monitorizacao.indd 33 12/02/10 18:38


PASSATEMPO E MODELISMO

sor de tensão. A tensão aos terminais de o tempo


R11 torna-se metade do valor do sinal de tor. Se q
entrada. O sinal retificado é em seguida do seu c
aplicado no comparador/amplificador a regra
IC2b. Este compara o valor de pico da cor- mento,
rente com o valor atualmente configurado
pelo jumper. entre 90 mV Placa d
O valor de referência pode ser um de sete (opção de 3 A) e Uma ve
valores. Estes foram configurados para os 340 mV (opção de 10 rente de desenha
seguintes valores de corrente: 3, 4, 5, 6, A). Estas tensões são gera- disparo de pelo para cor
7, 8 ou 10 A. O jumper JP1 pode ser utili- das pelo divisor de tensão for- menos 50 mA. O valor área, o t
zado para selecionar o limite pretendido. A mado pelas resistências R12 a R19. de 100 Ω escolhido para R5 placa pa
velocidade à qual IC2b comuta é de alguma Para estes cálculos assumiu-se que uma fornece uma corrente ligeiramente bém dec
forma limitada por C8, R22 e R21, de forma corrente de cerca de 50 µA percorre a superior ao valor indicado. grosso (
a obter uma saída estável. Quaisquer picos, rede de resistências. A tensão no diodo A desvantagem desta versão isolada do Este isol
falhas ou outras interferências de alta-fre- zener D5 vai ser inferior a 5,6 V. Por esta Triac está na sua resistência térmica interna prir com
quência são filtrados por estes compo- razão escolheu-se um valor de 100 kΩ para mais elevada, 2,1 K/W em vez de 1,2 K/W. elétrica
nentes. O pulso à saída de IC2b é gerado R19. Desta forma a resistência total da rede Para além disso a temperatura máxima garante
quando a corrente excede o limite, e é utili- R12-R18 pode ser desprezada e a corrente permitida na junção é de apenas 125 °C. metálico
zado para criar a tensão de controle de IC1. permanece razoavelmente constante, pelo A queda de tensão no triac é parcialmente este diss
O FET T1 foi configurado de forma a funcio- que os valores das resistências são então dependente da temperatura na junção. do espa
nar como uma fonte de corrente e excita bastante fáceis de calcular. Com uma corrente de 10 A queda de ten- triac (na
um LED sempre que a limitação de cor- C7 faz o desacoplamento da tensão de refe- são para uma temperatura de junção de metálico
rente esteja ativa (mesmo quando for uti- rência selecionada através de JP1. Existe 125 °C é cerca de 0,25 V inferior ao valor nal). As
lizado um dispositivo de baixo consumo de alguma não linearidade na malha de reali- para uma temperatura de 25 °C. minal A
corrente). C9 é carregado através de D4 e mentação, uma vez que o aumento inicial Para calcular o tamanho do dissipador longe do
R23. A tensão aos terminais de C9 fornece do ângulo de fase tem um efeito muito de calor, assume-se que a temperatura para o c
então o sinal de controle para IC1 através menos pronunciado na tensão efetiva do ambiente pode alcançar os 50 °C. Este Dadas a
de D7 e R26. C3 implementa um desaco- que quando o ângulo de fase é alterado em valor pode parecer exagerado, mas tendo cuito, n
plamento adicional. 90 graus. em conta que o circuito é alojado numa pistas p
Dada a gama de ajuste da entrada de con- O controle tem também uma zona morta. caixa e colocado numa caravana durante o ponente
trole e a amplificação do sinal medido é Uma vez que a entrada de controle ape- verão, o valor real pode ainda ser mais ele- a tensã
necessário um aumento da corrente na nas se torna ativa quando a tensão desce vado. A dissipação a 10 A é de cerca de 11 fusível d
carga antes da tensão poder ser reduzida abaixo de -2 V, a corrente na carga tem de W. A resistência térmica máxima é então: as duas
ao seu valor mínimo. Este aumento é de aumentar cerca de 0,2 A em relação à cor- 32 mm.
cerca de 1 A. Tendo em conta estes valo- rente selecionada antes da limitação de (125–50 °C) / 11 W = 6.8 K/W. corrent
res os níveis para as tensões de referência corrente entrar em ação. Esta é outra razão R7 e R8
são na realidade um pouco inferiores aos para ajustar os limiares para um valor um A este valor devem ser subtraídos os 2,1 gas térm
indicados na placa. A limitação é portanto pouco inferior ao indicado. Os valores das K/W do triac mais 1 K/W do isolamento, dar este
aplicada um pouco antes do limite de cor- resistências para o divisor de tensão foram pelo que o dissipador de calor utilizado ferro de
rente ser alcançado. arredondados para valores da faixa E96, deve ter uma resistência térmica melhor do o habitu
À medida que a sobrecarga aumenta, o porque de outra forma o erro total seria que 3,7 K/W, de forma a dissipar de forma Para os
limitador vai reduzir a corrente na carga muito elevado. segura a energia gerada no triac com a cor- carga ut
para um valor ligeiramente inferior ao P1 é utilizado para configurar o ângulo de rente máxima de 10 A. ton, nor
selecionado. A resistência inferior da carga fase máximo. No seu valor inferior é ainda Se a corrente máxima que pretender uti- veis, mo
significa que os pulsos de corrente se tor- possível limitar a corrente a 3 A durante lizar não exceder os 6 A, pode utilizar um 4 mm. A
nam mais curtos em duração e maiores em uma grande sobrecarga, de outra forma o dissipador de menores dimensões: é ligeira
amplitude. Nestas condições a tensão de limite é um pouco inferior. obrigat
controle através de C9 aumenta. A vanta- (125–50 °C) / 6 W –2.1–1 = 9.4 K/W. estes co
gem desta abordagem é que as correntes Dissipador de calor de que
de pico não são tão elevadas. Para o triac utilizamos o BTA16-600BW da Este segundo cálculo mostra de forma bem protótip
STMicroelectronics, que dispensa a utiliza- clara que uma diferença de apenas alguns dos no l
Tensão de referência ção de um circuito de atenuação (snubber). amperes resulta numa grande diferença no forme a
A tensão de referência é obtida a partir de O triac está disponível numa versão isolada tamanho do dissipador de calor. Contudo, cuito, p
um diodo zener de 5,6 V (D5), no qual cir- eletricamente, isto é, o terminal metálico importa deixar claro que estes cálculos outro la
cula uma corrente de cerca de 1 mA, limi- para ligação do dissipador não está ligado dizem respeito à temperatura máxima de possibili
tada por R20. As tensões de referência para a nenhum dos terminais do dispositivo, e operação do triac. Naturalmente, a opera- perdas c
IC2b são relativamente pequenas e estão suporta 15 A. Esta versão requer uma cor- ção nessas condições não é benéfica para ção do p

34 03-2010 elektor elektor

060316_dispositivo_monitorizacao.indd 34 12/02/10 18:38


o tempo de vida de qualquer semicondu- tir que existe uma separação mínima de
tor. Se quiser aumentar o tempo de vida 3 mm entre os conectores (e as pistas de
do seu circuito, nomeadamente do triac, circuito impresso) que transportam as ten-
a regra é simples: quanto mais arrefeci- sões da rede.
mento, melhor. Os limites de corrente foram impressos
de forma clara na placa junto a JP1. Para
Placa de circuito impresso reduzir o stress nas juntas de soldadura
Uma vez que a placa de circuito impresso é aconselhável fazer uma pequena dobra
ente de desenhada é de face única, e que as pistas nos terminais das resistências de potência
de pelo para correntes de 10 A precisam de alguma antes de as soldar na placa (isto também
O valor área, o triac é colocado num dos lados da se aplica ao conector central do triac, A2).
para R5 placa para facilitar a construção. Foi tam-
amente bém decidido utilizar um isolante cerâmico Segurança e ligação à terra
grosso (consulte a lista de componentes). Uma vez que o triac foi montado numa das
lada do Este isolador não foi selecionado para cum- arestas da placa, faz todo o sentido montar
a interna prir com nenhum requisito de segurança o dissipador de calor nesse mesmo lado.
1,2 K/W. elétrica, uma vez que o próprio triac já Para cumprir os requisitos de isolamento,
máxima garante o isolamento do seu dissipador deve colocar uma tira de fita isoladora
125 °C. metálico internamente. A razão para usar entre o dissipador de calor e a placa (dada a
almente este dissipador é simplesmente o aumento necessária separação de 3 mm). Por razões
junção. do espaço disponível para a ligação A2 do de segurança o dissipador de calor deve ser
de ten- triac (na versão não isolada, o dissipador ligado à massa.
nção de metálico está também ligado a este termi- Pretende-se que este controlador seja uti-
ao valor nal). As ligações para a porta e para o ter- lizado como um dispositivo elétrico de
minal A1 foram colocadas um pouco mais Classe I. Isto significa que se for disponibi-
sipador longe do triac, de forma a dar mais espaço lizado numa caixa, deve incluir uma ligação
eratura para o cobre da ligação de A1. de massa confiável, para ligação à terra. Na
°C. Este Dadas as correntes envolvidas neste cir- saída de IC2b é ligado um LED para indicar
as tendo cuito, não poupamos no tamanho das quando o circuito está a limitar a corrente.
o numa pistas para as ligações dos restantes com- Todo o circuito é ligado diretamente à
urante o ponentes (F1, L1, R7, R8, as ligações para rede, incluindo o LED. Por esta razão, não é
mais ele- a tensão da rede e para a carga). Para o permitido que este seja montado de forma
ca de 11 fusível de 10 A foi usado um suporte com a que seja possível tocar-lhe diretamente.
então: as duas partes separadas, para fusíveis de O melhor é mesmo montar o LED direta-
32 mm. Este suporte consegue suportar mente na placa. Para a sua própria segu-
correntes até 15 A. As ligações para L1, rança, é melhor desligar o circuito da ten-
R7 e R8 foram feitas sem as habituais fol- são da rede sempre que alterar a configu-
s os 2,1 gas térmicas. Isto significa que para sol- ração do jumper (utilizando um alicate de
amento, dar estes componentes vai precisar de um pontas isolado). Deve ser extremamente
tilizado ferro de soldar com mais potência do que cuidadoso sempre que fizer medições no
elhor do o habitual. circuito, especialmente nos pontos onde
e forma Para os conectores da tensão da rede e da está presente a tensão da rede, nomea-
m a cor- carga utilizaram-se terminais do tipo fas- damente entre R1 e o terminal faston do
ton, normalmente utilizados nos automó- canto, por exemplo. Um curto-circuito
der uti- veis, montados na placa com parafusos de entre estes dois pode fazer a pista junto ao
izar um 4 mm. A distância entre estes conectores terminal desaparecer, conforme descobri-
: é ligeiramente maior do que o mínimo mos nos nossos testes.
obrigatório de 3 mm. Quando parafusar A caixa para o circuito depende da utiliza-
 K/W. estes conectores na placa certifique-se ção pretendida, nomeadamente se pre-
de que estão bem orientados. No nosso tende incluir o circuito numa instalação já
ma bem protótipo estes conectores foram monta- existente, ou se pretende criar uma espécie
s alguns dos no lado dos componentes, mas con- de caixa avançada de distribuição de ten-
ença no forme a caixa que arranjar para o seu cir- são. Deve sempre ter em conta o tamanho
ontudo, cuito, pode ser conveniente montá-los no e a temperatura elevada do dissipador de
cálculos outro lado (face da soldadura). Esta última calor, assim como o calor que este pode
xima de possibilidade tem a vantagem de evitar as libertar.
a opera- perdas causadas pela resistência da liga- (060316-1)
fica para ção do parafuso. Deve ainda assim garan- Artigo original: Campsite AC Monitor – June 2009

elektor elektor 03-2010

060316_dispositivo_monitorizacao.indd 35 12/02/10 18:38


HARDWARE & SOFTWARE

Introdução ao C embarcado
• Ativaç
gir ime
por exe
Quando

3ª parte: interrupções e teste é ativad


nesse p
rupção,

de velocidade de resposta é execu


se dar in
interrup
apenas
Bert Korthof (Holanda) tante m
mais cur
Nesta terceira e última parte do pequeno curso rem ime
de C embarcado, baseado no kit de desenvolvimento mas não
sistema
MSP430, testamos alguns dos componentes que cução to
cial de v
ainda não foram explorados, como o display
program
de 7-segmentos e a sirene piezoelétrica. trado m
ção sim
de inter
priorida
A placa MSP430 contem, entre outras coi- valor 1 ao carregar em plo, uma
sas, um display de 7-segmentos, um regis- S1, no preciso instante cia sobre
trador de deslocamento 4096, utilizado em que o processador estado d
para excitar o mostrador, uma sirene pie- estiver executando a
zoelétrica, um clock de cristal com uma instrução IF. Se, pelo Para os p
frequência de 32768 Hz (ligado ao tem- contrário, o botão for os botõ
porizador interno TimerA) e conectores pressionado apenas bilidade
para ligar hardware externo, tal como um durante a breve execu- (S1, S2 e
interface I2C ou uma entrada para o con- ção da função delay(), através
versor A/D de 16 bits. Neste último artigo nunca vai assumir o va- na Figura 1. Uma vez que esta ISR tenha positiva
vamos explorar esse hardware, mas tam- lor 1. É portanto necessário manter o terminado, o processador volta ao ponto P1.6 e P
bém investigar o software para melhor botão pressionado até que a instrução IF exato onde o programa foi interrompido. S2 não é
entender as diferenças entre as técnicas seja executada. Em processos em que o O programa principal continua assim como o nível a
de polling e interrupção. agendamento de tarefas seja crítico, como se nada tivesse acontecido, para além de para o n
por exemplo em relógios ou alarmes, esta um atraso de poucos milissegundos. Este descend
Polling e interrupções metodologia pode originar vários proble- é o chamado mecanismo de interrupção. Podem-
Começamos com uma análise às técnicas mas. Este método utilizado para deter- Imagine que se configura um determinado dos às in
de leitura de valores por polling e por inter- minar o estado do botão de pressão S1 é pino de uma porta para gerar uma interrup- seja auto
rupções. Segue-se um programa em C que designado por polling. O estado de S1 é ção quando a sua entrada está num dado ção assim
ilustra a técnica de polling: determinado de cada vez que a instrução estado. Isto significa que o programa será descend
IF é executada. interrompido (durante a execução da linha a sua co
void main(void) x) assim que o circuito de interrupção detec- dual, co
{ Existe uma alternativa mais eficiente para tar o estado pré-programado nesse pino. A (para ati
while(1) ler o valor de S1, mas antes de a analisar rotina de serviço de interrupção é então é o regis
{ importa chamar à atenção do leitor a exis- invocada, e no fim deste o processamento lizado p
………..; tência de um circuito especial no interior é retomado no programa principal, exata- ascende
if (!(P1IN & BIT5)) a=1; do processador, com capacidade de inter- mente onde estava antes. uma po
// push-button romper o seu processamento normal em Existem vários eventos que podem gerar de interr
S1, active low dadas circunstâncias. Este hardware para uma interrupção: vras, qua
delay(65000); o processo normal de execução dos pro- significa
set_7segment(3,1) gramas, assim que o processador termine • Uma mudança de estado num pino de uma atendida
……..; a instrução atual, memoriza a posição de porta. claro, se
} // endless loop memória do programa atualmente em pro- • O disparo do temporizador watchdog. tanto at
} cessamento (isto é, guarda o valor do con- • O final da contagem do temporizador alguns v
tador de programa na pilha em memória, TimerA (overflow). a título d
isto é, no stack), e então chama uma Rotina • Quando o registro de comparação do tem-
Se executar este programa passo-a- de Serviço de Interrupção ISR (Interrupt porizador TimerA for igual ao valor armaze- Portant
passo vai descobrir que a só assume o Service Routine), conforme pode ser visto nado em CCR0. quando

36 03-2010 elektor elektor

090252_introducao_c_embarcado.indd 36 12/02/10 18:38


o
• Ativação de uma flag em software, para rea-
gir imediatamente a uma condição de erro,
por exemplo. Figura 1. Depois
Quando uma dada rotina de interrupção de completar a rotina
é ativada mas uma outra flag é acionada X de interrupção,
nesse preciso instante por outra inter- o programa regressa
Y
rupção, a primeira rotina de interrupção à exata posição onde
é executada na sua totalidade antes de tinha sido interrompido.
se dar início à segunda ISR. Esta segunda 090252 - 11

interrupção não é portanto perdida, mas


apenas atrasada. Por esta razão é impor-
tante manter as rotinas de interrupção o
Figura 2. Os botões
mais curtas possível, para que estas captu-
R de pressão estão ligados
rem imediatamente a condição de disparo 3V3

47k
à linha de alimentação
mas não afetem a temporização geral do P1.6 através de resistências
sistema. Além disso, a brevidade de exe- S2 0V de pull-up e produzem
cução torna possível a execução sequen- um nível lógico baixo
cial de várias ISR sem graves impactos no quando pressionados.
programa principal. Quando for regis- 090252 - 12

trado mais do que um pedido de interrup-


ção simultaneamente, através das flags
de interrupção, as ISRs são ordenadas por
prioridade (fixa por hardware). Por exem-
plo, uma interrupção CCR0 tem precedên-
cia sobre uma interrupção por alteração do
estado de um pino. Figura 3. Diferentes
exemplos para
Para os pinos de portas onde estão ligados configuração dos registros
de interrupção.
os botões de pressão, existem duas possi-
bilidades (Figura 2). Os botões de pressão
(S1, S2 e S3) na placa MSP430 são ligados
através de resistências de pull-up à tensão
R tenha positiva de alimentação (VCC) e ligam a P1.5,
o ponto P1.6 e P1.7. Quando o botão de pressão
ompido. S2 não é pressionado o sinal em P1.6 tem Figura 4. O cristal do sinal
m como o nível alto, mas a situação muda (passa de clockestá ligado ao
além de para o nível baixo, gerando uma transição temporizador interno de
:2 :2 :2 :2 :2 :2 16 bits TimerA. Depois
os. Este descendente) ao ser pressionado o botão.
upção. Podem-se configurar os registros associa- da respectiva divisão, o
2s
minado dos às interrupções de uma porta para que
32768 Hz temporizador fica com um
090252 - 14
período de 2 segundos.
nterrup- seja automaticamente gerada uma interrup-
m dado ção assim que for detectada uma transição
ma será descendente. Cada pino de uma porta tem o pino relevante nessa porta tem as inter- on falling
da linha a sua configuração de interrupção indivi- rupções ativas. No exemplo apresentado, edge
o detec- dual, configurável através do registo P1IE isto apenas se aplica ao sinal de entrada _EINT(); // enable
e pino. A (para ativar interrupções nesta porta). P1IES em P1.2, uma vez que este pino tem inter- interrupts
é então é o registro de seleção de interrupção, uti- rupções ativas para o flanco descendente,
amento lizado para escolher entre uma transição pelo que a flag correspondente foi ativa em the rest of the program
l, exata- ascendente ou descendente. Cada pino de P1IFG. follows (no more statements
uma porta tem também a sua própria flag A função ISR é definida fora da função for interrupts are needed,
m gerar de interrupção (P1IFG), ou, por outras pala- principal main(), tal como qualquer outra since the processor
vras, quando esta estiver no valor lógico um função: automatically deals with
significa que essa interrupção está sendo these)
o de uma atendida (através da ISR associada), exceto void main(void) ………………………
claro, se outra interrupção estiver entre- { }
chdog. tanto ativa. Na Figura 3 são apresentados ………………. #pragma vector=PORT1_VECTOR
orizador alguns valores possíveis para estes registros, P1IE |= BIT5; // signal // interrupt for port
a título de exemplo. from push button S1 P1.5 via S1
do tem- // can __interrupt void
armaze- Portanto, só é gerada uma interrupção generate interrupts Poort1actief(void)
quando um sinal muda no sentido certo, e P1IES |= BIT5; // active // ISR

elektor elektor 03-2010 37

090252_introducao_c_embarcado.indd 37 12/02/10 18:38


HARDWARE & SOFTWARE

VCC exemplo, para manter um Continous UP mode


15
EN3
registro pontual do tempo TACTL |= TAIE; // enable for(i
IC1
overflow interrupt {
P1.1 1
C2 ou para gerar um sinal de
P1.5 3
C1/ LD1 modulação de largura por TACTL |= ID0; // + ID1;
LTS4301E pulso PWM (Pulse Width // TimerA overflow after 4
P1.0 2 4 R1 10
1D 2D 3
5 R2
150R
150R
9
a
b
Modulation). [2,8 or 16] sec. "
6
7
R3
R4
150R
7
5
c O clock a cristal gera uma CCTL0 |= CCIE; // enable CCR0
interrupt
d
14 R5
150R
150R
4 e frequência precisa de
13
12
R6
R7
150R
2
1
f 32.768,00 Hz. A preci- _EINT(); // enable all
g
active interrupts
150R
11 R8
150R
6 dp são depende em parte da
CC CC
9
3 8 capacidade parasita asso-
10

74HC4094 GND
ciada à disposição das pis- TACTL é um registro de controle de 16 bits "
090252 - 15 tas na placa, e pode ser associado ao temporizador TimerA, utili-
Figura 5. O display de 7-segmentos é excitado por ajustada ligando os capa- zado para configurar o seu modo de funcio-
um circuito integrado de registro de deslocamento citores internos do proces- namento. Neste caso, utilizamos o modo
74HC4094. sador. Para mais detalhes UP (contagem ascendente).
consulte a nota de aplica-
ções do SLAA322 da Texas Uma vez que se divide sempre por dois, o
Instruments. O cristal é resultado final é o número exato (em biná-
ligado ao temporizador rio) de pulsos contabilizados. Quando se faz
interno de 16 bits TimerA; reset à contagem (TACTL I = TACLR;) este vai
consulte o diagrama de contar de 0 a 65536 em dois segundos. Uma
blocos na Figura 4. vez que se dispõe do valor binário corres- }
O contador divide con- pondente ao número de pulsos contados,
tinuamente o sinal de pode-se também gerar uma interrupção Figura 7
entrada por dois. Após para qualquer valor arbitrário deste conta-
16 estágios de divisão dor. Para tal deve guardar o valor preten- cer. Por e
obtém-se a frequência: dido no registro CCR0. Se CCR0 for igual a que o cr
32768 vai haver uma interrupção ao fim de vez que
f = 32768 / 216 = 0.5 Hz exatamente cada segundo. Esta é chamada muito fin
a interrupção do comparador associado ao larment
O que significa que vai temporizador TimerA (TimerA Compare súbitos,
ser gerada uma transi- Interrupt), onde o valor do TimerA é com- Logo, an
Figura 6. O registo de deslocamento de 8 bits 4094 ção ascendente a cada 2 parado com o valor do registro CCR0. quer tipo
possui um registro de deslocamento, um registro segundos. Escrevemos um programa que testa regar o a
de armazenamento e um registro de saída tampão. este tipo de interrupção (associada a testar o
Isto pode ser utilizado um temporizador), consulte o arquivo
pela interrupção TimerA TimerInterruptDemo.c, nos arquivos dis- Displa
function overflow (fim da contagem do tempori- ponibilizados gratuitamente no site da Antes
{ zador TimerA) em software para incre- Elektor (090252-11.zip). Ao programar Game2
P1OUT |= (BIT11 + BIT2); mentar um contador, e assim manter uma este arquivo na placa e executar o pro- velocid
// turn on contagem de minutos, horas, e até dias e grama, o LED D1 vai piscar a cada dois faz-se u
LEDs 1 and 2 meses. Um dia tem 24 × 60 × 60 = 86400 segundos, e a cada minuto a sirene produz e softw
P1IFG &= ~BIT5; // reset segundos. Se pretender uma precisão de 1 um breve apito. Se arrancar o programa 7-segm
interrupt flag segundo por dia, o desvio máximo de fre- exatamente no início da hora e o deixar Na Figu
} quência é de (1/86400) × 106 = 12 ppm ligado durante um dia inteiro, pode depois do circ
(partes por milhão). A frequência do cris- comparar o resultado com o de um relógio com o d
Nesta interrupção é necessário limpar o tal deve portanto ser igualmente precisa, DCF para determinar quantos segundos o linhas q
valor da flag no final da própria rotina de justificando-se assim a necessidade de um cristal desvia por dia (e eventualmente uti- linhas q
serviço de interrupção, porque de outra ajuste fino da frequência do cristal através lizar essa informação para fazer uma corre- método
forma o processador iria imediatamente de software. ção ao programa). cessado
reiniciar essa mesma ISR assim que a Existe também a possibilidade de gerar Nesta fase, tenho todo o gosto em dar alguns linhas de
tivesse terminado. períodos ainda mais longos através da adi- conselhos gerais aos entusiastas e estudan- compon
ção de dois estágios adicionais (ID0 e ID1, tes que desenham o seu próprio hardware pinos, t
Em seguida vamos examinar a impor- dividir por 2, 4 ou 8) à entrada do tempori- e software: ao criar software para um novo os LEDs
tante interrupção associada ao tempori- zador, através do seguinte código: hardware é importante partir de código que softwar
zador TimerA. Uma vez que a placa inclui já se sabe que funciona. É uma pena quando os oito b
um Clock a cristal bastante preciso, pode- TACTL = TASSEL0 + TACLR; se perdem vários dias a programar mas nada formato
se interromper o programa automatica- // TimerA clock parece funcionar devido a vários erros no requer c
mente em intervalos fixos, para executar is ACLK + clear código, sem que se perceba exatamente o adiciona
uma rotina de serviço de interrupção, por TACTL |= MC1; // TimerA in que está mal ou sequer o que está a aconte- uma vez

38 11-2009 elektor elektor

090252_introducao_c_embarcado.indd 38 12/02/10 18:38


for(i=1;i<=128;i*=2) // i=1->BIT0;i=2->BIT1; etc. loop for all 8 display segments
{
for(j=7;j>=0;j--) // generate 8 data bits, starting with MSB
fter 4 {
" if (i & (BIT0 << j)) // e.g. j=7: BIT0 --> BIT7 (make i =6: display =1, etc.)
CCR0 {
P1OUT |=BIT0; // set DataIn high
all }
else
{
e 16 bits " P1OUT &= ~BIT0; // set DataIn low
rA, utili- }
e funcio- P1OUT |= BIT5; // set CLK
o modo delay(0); // a very small delay
P1OUT &= ~BIT5; // reset CLK
delay(0);
r dois, o }
em biná- P1OUT |= BIT1; // set strobe high
do se faz delay(0);
este vai P1OUT &= ~(BIT0|BIT1| BIT5); // reset all outputs
os. Uma delay(1); // about 1 s to display 1 segment
o corres- }
ontados,
rrupção Figura 7. Fragmento do código do programa demodisplay.c.
e conta-
preten- cer. Por exemplo, pode começar por verificar influenciam mutuamente, pois a adição de Isto pode ser feito programando e exe-
r igual a que o cristal na placa está bem ligado, uma algum software adicional pode implicar cutando o arquivo demodisplay.c. Parte
o fim de vez que estes normalmente têm condutores uma redução na quantidade de hardware do código deste programa é apresentado
hamada muito finos. Os cristais são também particu- necessário! na Figura 7.
ciado ao larmente sensíveis ao choque ou a impactos O mostrador LTS4301E é do tipo catodo O ciclo FOR exterior determina o número a
ompare súbitos, como por exemplo caírem no chão. comum, o que significa que existe uma ser enviado. Esta é a variável i, que tem os
A é com- Logo, antes de começar a desenvolver qual- massa comum para todos os LEDs do mos- valores 1, 2, 4, 8, etc (estes valores são referi-
R0. quer tipo de software deve começar por car- trador. Estes LEDs são excitados através de dos como BIT0, BIT1, etc). Dos 8 bits apenas
e testa regar o arquivo TimerInterruptDemo.c para resistências de limitação de corrente com um vai estar ativo em cada instante. Quando
ciada a testar o hardware! um valor de 150 Ω. A corrente num LED é todos os bits tiverem sido deslocados para
arquivo de: o interior do registro o que vai acontecer é
vos dis- Display de 7-segmentos que apenas um segmento se vai iluminar.
site da Antes de passarmos ao programa (Vcc – VLED) / 150 = (3.3 – 1.9) / 150 Todos os segmentos se vão então iluminar
gramar Game2Elektor_080558.c, que testa a ≈ 10 mA. em sequência, incluindo o ponto decimal.
r o pro- velocidade de reação de um jogador, A variável i é enviada de forma simples
da dois faz-se uma breve descrição do hardware O registro de deslocamento de 8 bits (4096) para o registro de deslocamento. O bit
e produz e software associados ao display de tem uma entrada de dados, uma entrada mais significativo MSB (Most Significant
ograma 7-segmentos. para o sinal de clock e uma entrada de ati- Bit) é enviado em primeiro lugar. O ciclo
o deixar Na Figura 5 pode ver a parte do esquema vação de trinco (strobe), conforme pode ver FOR interno começa com j=7, que se torna
e depois do circuito da placa MSP430 que lida na Figura 6. Após oito pulsos de clock, um o BIT7 devido à instrução BIT0 << j. Esta
m relógio com o display. Neste circuito temos três sin al de 8 bits entra na sua totalidade no instrução faz o bit menos significativo
undos o linhas que comandam o 74HC4094, e 7+1 registro, e pode ser armazenado no regis- deslocar-se sete posições para a esquerda,
ente uti- linhas que seguem para o mostrador. Este tro de memória através do sinal de trinco. tornando-se assim o BIT7 (na realidade o
ma corre- método é necessário uma vez que o pro- O nível do sinal é lido no flanco ascendente BIT0 é multiplicado por 27). A instrução
cessador MSP430 dispõe apenas de oito do sinal de clock, conforme pode ser visto seguinte (i & BIT0 << j)) verifica se este bit
ar alguns linhas de E/S, sendo necessário ligar outros nas formas de onda da Figura 6. O pino 15 de i tem o valor lógico alto ou baixo. Na pri-
estudan- componentes periféricos aos restantes do circuito integrado é ligado à tensão de meira vez que este ciclo é executado a con-
ardware pinos, tais como os botões de pressão e alimentação, o que significa que o buffer dição torna-se if (1 & BIT7). Esta condição
um novo os LEDs. Esta solução tem implicações no de saída está sempre ativo e pode fornecer não é verdadeira, pelo que a linha de dados
digo que software, uma vez que é necessário enviar corrente aos segmentos do display. A saída ligada à entrada do registro de desloca-
quando os oito bits de dados para o mostrador em QP7 (pino 11) comanda o ponto decimal do mento é colocada no nível baixo através
mas nada formato série em vez de paralelo, o que display. da instrução ELSE (ver setas na Figura 7).
erros no requer código e tempo de processamento Antes de começar a desenvolver o seu
mente o adicional. Pode-se assim comprovar mais próprio código para excitar o display, Desta forma, o ciclo interno envia os oito
aconte- uma vez como o hardware e o software se deve testar o hardware a ele associado. bits quando i=1 para o registro de deslo-

elektor elektor 11-2009 39

090252_introducao_c_embarcado.indd 39 12/02/10 18:38


HARDWARE & SOFTWARE

camento, assim como o sinal de clock, resolution of 0.05 s contagem de 65536 / 40 = 1638. Chamou-se
à medida que se vai colocando o sinal if(c>15) { c=-1;dp=1;} a esta constante reslow (1638). Para pessoas
de clock alternadamente a um e a zero. else dp=0; com um tempo de resposta extremamente
Quando os oito bits são todos enviados P1OUT &=~BIT4; rápido o tempo que vai aparecer na tela será
para o registro de deslocamento, o valor delay(65000); de 1, ou seja, um tempo de reação inferior
final pode ser copiado para o registro de P1OUT |= BIT4; a 0,2 segundos. Adicionou-se também um
memória utilizando o sinal strobe. set_7segment(c,dp); // clear modo de alta resolução utilizando a variável
O ciclo externo faz cada segmento ilumi- 7-segment display reshigh (½ × 1638 = 819), com o qual se con-
nar-se sequencialmente. Execute o arquivo segue medir em unidades de 0,05 s.
demodisplay para verificar que tudo fun- O temporizador começa a contar a par- Neste programa utiliza-se algum código
ciona conforme o esperado! tir de zero. O registro TAR do TimerA vai fonte do segundo artigo, mas para uma
ter então um valor de zero e dá-se início a referência mais rápida combinou-se todo o
Teste as suas reações uma contagem de sentido ascendente. O código num único arquivo. Não se utilizou
Vamos agora analisar o código no arquivo programa não avançou para além do ciclo um arquivo de biblioteca, mas essa é uma
Game2Elektor_080558.c. Este programa gera while(!ready). Este ciclo consiste numa única possibilidade que se pode tornar útil quando
um número aleatório, que é utilizado para declaração, uma vez que existe um ponto e se dispõe de um número de funções bem
gerar um apito num instante aleatório após vírgula (;) imediatamente a seguir à instru- documentadas já disponível. Apresentam-
S1 ser pressionado. Isto pode ser de 0,25 s ção while(). Desde que ready=0 esta linha vai se na Figura 8, a título de resumo, as três
a 2 segundos depois, no máximo. A seguir continua sendo executada indefinidamente. interrupções estudadas neste último artigo.
ao apito deve carregar em S3 o mais rápido Quando S3 for pressionado a interrupção Vale a pena notar que é sempre necessário
possível. O TimerA está ligado ao cristal de associada à mudança de valor numa entrada limpar a flag de interrupção na rotina ISR,
32 kHz e é limpo no instante em que o apito é chamada, e no interior dessa mesma ISR a com a excepção da interrupção de compa-
é gerado (TATCL I = TACLR;). O código rele- variável ready é colocada a 1. O ciclo while() ração associada ao TimerA0, onde o hard-
vante do arquivo acima mencionado é o é então terminado, e o valor do contador é ware faz ele próprio o reset à flag assim que
seguinte: armazenado na variável t. Este valor é pro- a interrupção termina.
porcional ao tempo decorrido desde que foi É muito útil percorrer o programa no modo
TACTL |= TACLR; // TimerA gerado o apito. É aplicado um divisor adicio- passo-a-passo, para perceber de forma
clear(TAR=0), nal (× ½) após o cristal de 32.768 Hz, utili- mais clara como são utilizadas as várias
// start zando a instrução TACTL I = ID0. Isto signi- instruções, para que seja mais fácil pensar
measuring fica que vão agora passar 4 segundos até o e conceber os seus próprio programas.
while(!ready); // wait contador atingir o seu limite. Pode-se agora Como é habitual, aprende-se melhor
for S3 calcular o fator de conversão necessário para quando se pratica por si próprio!
t=TAR; // read mostrar o tempo até às décimas de segundo. (090252-1)
contents of TimerA Quatro segundos correspondem a 65536, Artigo original: Getting Started with Embedded
c=t/reshigh; // pelo que 0,1 s correspondem a um valor de C (III) – September 2009

#pragma vector=TIMERA1_VECTOR // timer-overflow interrupt, value of


__interrupt void Timer (void) // TAR = 65535; every 4 seconds
{
P1OUT &= ~BIT3; // turn LED D3 on
delay(3000);
P1OUT |= BIT3; // turn LED D3 off
TACTL &= ~TAIFG ; // reset interrupt overflow flag
}

#pragma vector=TIMERA0_VECTOR // counter TimerA equals CCR0 value


__interrupt void timerA_Compare_IRQ(void)
{
start =1;
}

#pragma vector=PORT1_VECTOR
__interrupt void buttonactive(void)
{
ready=1;
P1IE = 0; // turn off port interrupts
P1IFG = 0; // reset interruptPort flag
}

Figura 8. As três rotinas de interrupção utilizadas no programa Game2Elektor_080558.c.

40 03-2010 elektor

090252_introducao_c_embarcado.indd 40 12/02/10 18:38


Áudio & Vídeo

Potência em ponto pequeno


1ª parte: amplificador PWM simples
Ton Gieberts (Elektor)

Existem projetos imensos para amplificadores de potência para áudio


simples. Estes são desenhados, geralmente, tendo como base uma
topologia em classe AB ou classe B. Neste artigo, apresentamos um
projeto muito compacto em classe D que pode ser alimentado por
quatro pilhas do tipo AA, e dada a sua relativamente elevada
eficiência, é possível retirar uns bons decibéis de um pequeno
alto-falante.
Características técnicas
• 1 W sobre 8 Ω, 1,7 W sobre 4 Ω
• Classe-D
• Fonte de alimentação: 6-9 V
O amplificador A largura do pulso é também (4 pilhas tipo AA)
de potência para áudio uma medida do tamanho do sinal • Extremamente compacto
descrito neste artigo não é de saída (e, por extensão, do nível de • Simples de construir sem
nenhum amplificador analógico nor- volume do amplificador). componentes SMD
mal, mas sim uma versão digital que uti- Isto pode ser visto claramente na Figura 1:
liza uma modulação por largura de pulso os locais onde o lado positivo do sinal é
(PWM). Na realidade, temos que ser um maior correspondem aos níveis de sinal afeta a oscilação, o que resulta num con-
pouco frontais e referir que este amplifica- mais elevados. Isto, naturalmente, é tam- trole por largura de pulso.
dor tem um pouco de distorção e, devido bém verdade para a metade negativa do Como o amplificador é alimentado a partir de
a esse fato, não é um amplificador estrita- sinal: quanto mais baixo o lado positivo uma fonte de alimentação simples (6-9 V),
mente Hi-Fi. O circuito é demasiadamente do pulso (e, por conseguinte, maior o lado é necessário introduzir capacitores de desa-
simples para isso. Por outro lado, o circuito negativo) menor (negativo) o sinal de coplamento na entrada e saída (C1 e C7).
proporciona um som único. O amplificador saída. Basicamente, é o capacitor de saída que
digital tem, talvez, um som com qualidade O tamanho do sinal de saída é portanto determina a frequência de passagem mais
parecida com uma válvula. determinado pela relação entre o pulso baixa. O valor selecionado é sempre um
positivo e negativo. Quanto maior a dife- compromisso entre o tamanho físico e a
Amplificador PWM rença, maior o sinal de saída. O contrário largura de banda pretendida, tal como nos
Em princípio, um amplificador PWM tem também é verdade: quando a relação entre amplificadores analógicos.
uma elevada eficiência, isto porque a saída o pulso positivo e negativo se aproxima de
é comutada em alta-frequência entre o 50/50, mais baixo é o sinal de saída. Para controlar o estágio de saída, são usa-
valor positivo e negativo da tensão de ali- Para converter o sinal PWM de novo no das algumas portas lógicas da serie 4000.
mentação (Figura 1). Como os transisto- sinal original (amplificado) apenas é A principal vantagem desta série de por-
res de saída são controlados, um de cada necessário um filtro passa-baixa. Este fil- tas lógicas é a sua elevada gama de ten-
vez, totalmente ligados ou totalmente tro elimina as frequências de comutação são de alimentação. A sua velocidade limi-
desligados, a queda de tensão nos transis- elevadas do sinal, de modo que apenas tada não é realmente uma preocupação
tores quando estão ligados, e a corrente permaneça uma espécie de média, o que neste caso. De modo a obter um ganho
que percorre os transistores quando estes corresponde ao sinal original. suficiente, são ligadas duas portas em
estão desligados, são mínimas. Isto signi- série e as restantes em paralelo com o
fica que as perdas (de calor) dos transisto- Circuito segundo buffer. Isto resulta num melhor
res permanecem baixas. Enquanto o tran- O circuito (Figura 2) é um modulador PWM sinal de controle para o estágio de saída
sistor estiver ligado, existe um pulso na auto-oscilante. Na realidade, este corres- (necessário por causa da alta capacidade
saída. A largura deste pulso é proporcional ponde a um estágio amplificador que oscila de entrada do estágio de saída).
à amplitude do sinal de entrada original. muito mal. Um sinal de baixa frequência Optamos por MOSFETs com encapsula-

elektor 03-2010 41

080277_potencia_ponto_pequeno.indd 41 12/02/10 18:39


Áudio &

mento I-PAK (TO251AA) produzidos pela


IRF para o estágio de saída. Estes peque-
nos transistores suportam mais de 4 A
(o MOSFET de canal N suporta correntes u [V]
com mais de 7 A). A resistência de passa-
gem do MOSFET de canal N é de cerca de
0,25 Ω e o MOSFET de canal P é de cerca
de 0,5 Ω.

Por causa destes baixos valores de resistên-


cia, é importante que ambos os transisto-
res não liguem ao mesmo tempo (é neces-
sário um tempo morto). Em série com as
saídas das portas lógicas estão ligadas
resistências de 220 Ω, cada uma em para-
lelo com um diodo Schottky. Isto garante t [s] 080277 - 12
que a tensão na gate de um MOSFET é des-
carregada mais rápido do que é carregada Figura 1. Sinal PWM correspondente a uma onda senoidal. Depois de filtrado o sinal
na gate do outro. PWM o sinal original reaparece. Figura 3
as curva
No nosso protótipo usamos uma choque
de supressão de ruído para a bobina de maior. Ao usar uma versão axial e montá-la A resistência R1 mantém o lado da entrada
saída do filtro passa-baixa (L1) bastante na vertical, o espaço necessário à sua mon- de C1 ao nível da massa, de modo que não práticos
comum. Esta bobina suporta correntes tagem foi reduzido ao mínimo. Uma bobina sejam produzidos sons irritantes quando típicas
até 2 A. Mas pode-se também usar uma com núcleo de ar teria sido a melhor esco- se liga a fonte de sinal com o circuito já fornece
bobina menor, como as versões axiais lha, mas neste caso isso não era uma opção ligado. No que diz respeito ao alto-falante, sinal de
para aplicações de média corrente. Certi- devido à sua dimensão física. assumimos que este está sempre ligado Mas, nã
fique-se apenas que essa bobina encaixa (razão pela qual não existe nenhuma resis- descrev
na placa de circuito impresso. Escolhe- Tal como já foi mencionado, apenas é tência ligada em paralelo com os termi- que con
mos propositadamente uma bobina necessário um filtro passa-baixa para trans- nais de saída). controle
que suporta uma corrente maior do que formar o sinal digital num sinal analógico.
necessário (para uma carga de 4 Ω o pico A bobina L1, em conjunto com o capacitor Os alto-falantes são cargas bastante com- Resulta
de corrente é inferior a 1 A). C5, forma um filtro passa-baixa de segunda plexas e são maioritariamente indutivas O cons
De modo a manter a dimensão física da ordem (Butterworth), que suprime as fre- para frequências mais altas. O ganho do modo d
bobina pequena, usamos um pequeno quências acima dos 40 kHz. Este é também circuito é determinado pela malha de rea- elevado
núcleo, mas isso resulta em não linearidades necessário para assegurar que o circuito limentação R2/R3. Com os valores sele- mais se
que se tornam cada vez maiores à medida não provoque interferência em outros dis- cionados o ganho é de aproximadamente Este co
que o núcleo entra em saturação. Assim, positivos. A malha RC (R6/C6) assegura que um, o que, dada a tensão de alimentação mente p
para operar numa região razoavelmente o filtro continua a funcionar corretamente e a gama de tensão de saída, dá-nos uma 660 kHz
linear, selecionamos uma bobina um pouco para frequências mais elevadas. sensibilidade suficiente. A partir de testes tensão d
ausênci
tempo m
+9V R3 R5 é um
S1 12k

+9V Felizme
IC1A
C3 1
tensões
C2 3 2 BAT85
BT1 1 acontec
9V
IC1
100n 100u 8 IC1 = 4050 IC1C mais len
40V D1 T1
7 6 de tensã
1 220R
R4 corrente
IC1B IC1D C7 sumo d
C1 R2 IRFU9120 L1 1000u
10k
5
1 4 9
1 10 nando p
40uH
10u IRLU120 R6 25V pilhas do
63V IC1E o consu
8R2

R1 R5 C5 LS1
C4 11 12 No enta
1 220R
470k

8Ω
330n C6 circuito
270p D2 T2
IC1F
220n
na faixa
14 15
1 BAT85 9,5 V, o
080277 - 11 pouco m
mentaçã
Figura 2. O circuito é de dimensões muito modestas, pelo que pode ser montado numa placa de circuito impresso muito compacta. para 60

42 03-2010 elektor elektor

080277_potencia_ponto_pequeno.indd 42 12/02/10 18:39


Áudio & Vídeo

se verificar a escala vai ver que não é assim


+9 tão mau. Para 20 kHz o pico é de apenas
+8
2,5 dB. Em princípio, isto não faz nenhum
+7
mal, e na verdade algumas pessoas apre-
+6
ciam isso.
+5
A placa de circuito impresso é bastante
+4
compacta. O pré-amplificador, previsto
d +3 para publicação na próxima edição, tem
B +2
r as mesmas dimensões de modo que possa
+1
A ser construído um mini-amplificador com-
+0
pleto bastante compacto.
-1
(080277-1)
-2

-3

-4
Artigo original: Power in the Pocket (1) – June 2009

-5

-6
10 20 50 100 200 500 1k 2k 5k 10 k 20 k 40 k - BT1 + S1 C7
Hz 080277 - 13
C1 C2 R5
o sinal
+
Figura 3. A resposta em frequência depende da carga ligada. Nesta figura apresenta-se R6
R1R2 C3 LS1

R4 D2
as curvas para uma resistência de 8 Ω (azul) e para um alto-falante (vermelho). L1
C5 C6 -

IC1
entrada

T1 T2
R3

C4
que não práticos, parece-nos que as placas de som abaixo dos 5 V dá um sinal de controle da

D1
quando típicas provavelmente não conseguem unidade insuficiente (o nível de tensão não
cuito já fornecer um sinal suficiente para obter um é suficiente para o controle das gates).
-falante, sinal de saída completo no amplificador. Com 9 V a potência máxima de saída, para Figura 4. Apesar de se usarem
e ligado Mas, não se preocupe: na 2ª parte vamos uma carga de 8 Ω, é de 1 W; para 4 Ω quase componentes convencionais, a placa de
ma resis- descrever uma placa do mesmo tamanho, que duplica para 1,7 watts. O nível de ten- circuito impresso é bastante compacta
s termi- que contém um pré-amplificador e um são cai ao longo da bobina e do capacitor (os componentes são montados muito
próximos uns dos outros).
controle de tonalidade. de saída, por exemplo, começa a ter um
efeito significativo no valor máximo de
te com- Resultados tensão de saída. Lista de componentes
dutivas O consumo de corrente de 44 mA no
Resistências:
anho do modo de espera é claramente demasiado Com uma tensão de alimentação de 9 V,
R1= 470 kΩ
a de rea- elevado para utilizar uma bateria, ainda este amplificador já produz um pouco de R2= 10 kΩ
es sele- mais se for usada mais do que uma placa. ruído, mesmo quando se usa um pequeno R3= 12 kΩ
amente Este consumo é causado maioritaria- alto-falante. Com 1 mW a distorção é infe- R4;R5= 220 Ω
entação mente pela frequência de comutação de rior a 0,5%. Para uma carga de 8 Ω a largura R6= 8,2 Ω
nos uma 660 kHz, relativamente elevada, com uma de banda situa-se numa faixa que vai dos Capacitores:
e testes tensão de alimentação de 9 V, e devido à 18 Hz aos 40 kHz (curva em azul na Figura C1= 10 µF/63 V, radial, 6 mm
ausência de um adequado controle de 3). O ponto de corte de frequência mais C2= 100 nF, cerâmico, passo 5 mm
tempo morto (o valor de 220 Ω para R4 e baixa é determinado pelo capacitor C7, e C3= 100 µF/40 V, radial, 8 mm
R5 é um compromisso). o ponto de corte de frequência mais ele- C4= 270 pF, cerâmico, passo 5 mm
vada pelo filtro passa-baixa (L1/C5). Para C5= 330 nF, MKT, passo 7,5 mm
C6= 220 nF, MKT, 7,5 mm
Felizmente, a frequência reduz-se para pequenos alto-falantes isto é mais do que C7= 1000 µF/25 V, radial, 10 mm
tensões de alimentação mais baixas (isso suficiente, uma vez que é mais do que estes
acontece porque os buffers usados ficam pequenos alto-falantes conseguem na Bobinas:
mais lentos à medida que se baixa os níveis maior parte das vezes reproduzir. L1= 40 µH/2 A, axial (montagem vertical,
de tensão), o que beneficia o consumo de Como já foi mencionado anteriormente, a Epcos B82111EC23; Farnell # 9753354)
corrente. Para 6 V (FS de 510 kHz), o con- curva a azul (Figura 3) representa a carac-
Semicondutores:
sumo de corrente desce para 10 mA, tor- terística de amplitude para uma carga de
D1;D2= BAT85
nando possível usar um conjunto de quatro 8 Ω pura. Quando se liga um alto-falante T1= IRFU9120NPBF (TO251AA/I-PAK,
pilhas do tipo AA. Para 5 V (FS de 450 kHz), pode ser observado um ligeiro incre- International Rectifier;
o consumo de corrente é de apenas 6 mA. mento (cerca de 1 dB) da tensão de saída Farnell # 8659206)
No entanto, recomendamos que utilize o em torno do ponto de ressonância (curva T2= IRLU120NPBF (TO251AA/I-PAK,
International Rectifier;
circuito com uma tensão de alimentação em vermelho). Na frequência de corte do
Farnell # 8651345)
na faixa dos 6-9 V. O máximo absoluto é de filtro (cerca de 40 kHz) pode ocorrer um IC1= 4050
9,5 V, o que consiste numa sobretensão de pico de vários dB. Isto acontece porque o
pouco mais de 5%. Para esta tensão de ali- filtro Butterworth já não está terminado Diversos:
mentação o consumo de corrente aumenta corretamente. Na figura pode dar a sensa- S1= Botão de 1 contato
ompacta. para 60 mA. Uma tensão de alimentação ção que este pico é bastante elevado, mas

elektor elektor 03-2010 43

080277_potencia_ponto_pequeno.indd 43 12/02/10 18:39


MontageM

Medidor capacitivo para


nível de líquido
Wolfgang Rudolph (Alemanha), Rudolf Pretzenbacher (Áustria) e Burkhard Kainka (Alemanha)

A maior parte das pessoas, quando quer saber a quantidade de líquido que tem numa garrafa, olha
para a mesma, mas nós, que gostamos de eletrônica, somos um pouco diferentes, queremos mes-
mo medir essa quantidade.

imersos
eles alte
constan
medida

Sensor
Como já
método
que já e
com cap
simples
vista. V
matemá
sensor c
do por R
oscilado
de medi
process
a inspira
ATM18.
tema po
farads (n
rad são
de um p
Claro esta, não tem necessa- Métodos de medição resistência dá-nos a indicação da quantidade gir uma s
riamente de ser uma garrafa. Existem vários métodos para este fim. Mui- de combustível. Todavia, a precisão deste sis- no fato d
Existem imensas áreas onde é tas das cisternas existentes possuem uma tema é muito fraca. ponente
necessário medir o nível de um bóia que fecha a válvula de entrada quando Existem, hoje, métodos mais modernos, uti- no oscila
líquido existente num determi- a água atinge um certo nível. Neste caso, a lizados em várias situações. Incluem a medi- cia de os
nado depósito, como na indús- bóia não só serve de sensor, como também ção diferencial da pressão hidrostática, medi- influênc
tria de bebidas ou petrolífera. de atuador, controlando a válvula através ção de condutividade, medição da absor- a frequê
Certamente, o leitor encontra de uma alavanca. Apesar de ser um método ção de luz, ultra-sons e mesmo medição por maior fo
outras situações onde um sis- muito confiável, não pode ser utilizado para radar. será a ca
tema deste tipo pode ser útil. medir a quantidade de líquido. Este princí- Do ponto de vista eletrônico, a medição uti- frequên
Vamos ver primeiro como se pio era, e ainda é, usado para medir a quan- lizando um método capacitivo é também sente na
pode medir a quantidade de tidade de combustível no depósito de um interessante. O método baseia-se na medi- ponsáve
um líquido com precisão e automóvel. Neste caso, a bóia faz mover uma ção da capacidade entre dois eletrodos. Se dade, em
confiabilidade. alavanca ligada a um reostato. A alteração da estes eletrodos estiverem mais ou menos uma ind

44 03-2010 elektor elektor

080707_medidor_nivel_liquido.indd 44 12/02/10 18:39


Tabela 1.
Especificações da bobina.
(vertical, com capacidade para corren-
tes médias)

Parece simples, mas existem alguns deta-


+5V
Fabricante: Fastron (Refª 09 P-103 J-50) lhes importantes.
, olha Dimensões: diâmetro 9,5 mm, altura
R1 R4

Oscilador

100k

1k8
14 mm, passo 5 mm
mes- O próprio circuito do oscilador pode afetar a R3
Indutância: 10,0 mH (a 20 kHz) malha ressonante, quer devido à capacidade 100k

Frequência de auto-ressonância (SRF): inerente, quer devido a acoplamentos que


IC1
0,41 MHz possam surgir. Para minimizar estes efeitos, a C1 5
2 8
malha ressonante deve ter um fator de qua- 6
f out
Corrente contínua nominal: 90 mA lidade (Q) muito elevado e um nível de exci- 10μ LM311
7

Sensor C
16V 3
R5
Resistência: 35 Ω tação baixo. É também importante escolher L1
4
10mH 1

47k
Tolerância: ± 5% a indutância mais adequada.
No nosso caso, optou-se por utilizar uma
Q (min): 70 bobina fabricada pela Fastron. Esta bobina, R2
C2
com a referência 09 P-103 J-50, possui uma

100k
10μ GND
indutância de 10 mH, uma resistência DC 16V

imersos num líquido, a capacidade entre de 35 Ω e uma frequência de ressonância 080707 - 16


eles altera-se. A capacidade depende da de 410 kHz. Isto significa que possui uma
constante dielétrica do líquido, e aumenta à capacidade parasita muito baixa, da ordem
medida que o nível do líquido sobe. dos 15 pF. Além disso, possui um fator Figura 1. Esquema elétrico do oscilador
de qualidade especificado de 70 (valor utilizado na medição da capacidade.
Sensores capacitivos máximo). As suas características são apre-
Como já deve ter reparado, este vai ser o sentadas na Tabela 1.
método utilizado neste projeto, até por- Quanto maior for o fator de qualidade Q de cialmente sensível a influências externas.
que já estamos habituados a trabalhar uma malha ressonante, menor será o seu A Figura 1 apresenta o circuito do oscila-
com capacitores. Contudo, não vai ser tão amortecimento. Um fator de qualidade de dor, construído em torno do comparador
simples quanto pode parecer à primeira 70 significa que a amplitude da oscilação LM311. A tensão de entrada é compa-
vista. Vamos precisar de alguns cálculos livre decai de acordo com um determi- rada com uma tensão de referência, con-
matemáticos. Este artigo é baseado no nado fator, como se pode ver facilmente vertendo o sinal senoidal proveniente da
sensor capacitivo para líquidos construí- num osciloscópio. Este amortecimento malha ressonante para um sinal quadrado
do por Rudolf Pretzenbacher, que utiliza um deve-se a perdas resistivas nos condutores na saída. Este sinal excita a malha resso-
oscilador de alta estabilidade para o circuito e magnéticas no núcleo. Uma malha res- nante através de uma resistência de rea-
de medição e um microcontrolador para o sonante com uma indutância de 10 mH e limentação. O divisor resistivo na entrada
processamento de sinal. O seu sensor foi uma capacidade de 6300 pF possui uma não inversora do comparador divide a ten-
a inspiração para este artigo, utilizando o frequência de ressonância de 20 kHz, e são de entrada por dois. O sinal na entrada
ATM18. Os resultados são excelentes. O sis- uma impedância indutiva e capacitiva de inversora é a tensão de comparação obtida
tema pode medir capacidades entre nano- 1260 Ω. A razão entre esta impedância e a integrando a tensão de saída. Sendo assim,
farads (nF) e femtofarads (fF). Um femtofa- resistência DC de 25 Ω dá-nos um fator Q a frequência do oscilador é definida auto-
rad são 10-15 Farads, a milionésima parte teórico de 36, o que significa que a impe- maticamente logo no arranque, produ-
de um picofarad. Mas, com é possível atin- dância de ressonância do circuito é de zindo uma saída quadrada, simétrica.
antidade gir uma sensibilidade destas? A resposta está 45 kΩ (1260 Ω x 36). O fator Q e a impe- Em relação ao efeito do próprio circuito
deste sis- no fato desta capacidade ser um dos com- dância de ressonância aumentam com oscilador na malha ressonante, a princi-
ponentes de uma malha resonante, presente a diminuição da capacidade, fazendo pal consideração a ter em conta é o valor
nos, uti- no oscilador, e que determina a sua frequên- aumentar a frequência. Para se conseguir das resistências. O divisor de tensão é for-
a medi- cia de oscilação. Se a capacidade variar, por um fator Q elevado, temos de apontar para mado por duas resistências de 100 kΩ, o
a, medi- influência de um objeto na sua proximidade, uma razão elevada entre L e C (L/C ele- que equivale a uma resistência de 50 kΩ
a absor- a frequência do oscilador altera-se. Quanto vado). A cerca de 3000 pF e 30 kHz, o valor vista pela malha ressonante. Existe tam-
ição por maior for a proximidade ao objeto, maior calculado para o fator Q é de aproximada- bém a resistência de realimentação (100
será a capacidade medida, resultando numa mente 70. As perdas no núcleo aumen- kΩ) dividida pelo ganho de tensão. Isto
ição uti- frequência menor. O microcontrolador, pre- tam a altas frequências, fazendo diminuir significa que se consegue obter uma fre-
ambém sente na placa ATM18 da Elektor, vai ser res- o fator Q, contudo, o circuito do oscilador quência de oscilação estável com uma
na medi- ponsável pelos cálculos do valor da capaci- provoca, ele próprio, uma queda no fator Q capacidade no sensor até 100 000 pF ou
odos. Se dade, em função da frequência medida e de ainda maior, pois a malha ressonante, por mais. Em circuito aberto, a frequência é
u menos uma indutância conhecida. ter uma impedância muito elevada, é espe- de aproximadamente 350 kHz, ou seja,

elektor elektor 03-2010 45

080707_medidor_nivel_liquido.indd 45 12/02/10 18:39


Montagem

Listagem 1.
Medição da capacidade.

Config Timer0 = Timer ,


Prescale = 64
Config Timer1 = Counter ,
Edge = Falling , Prescale
= 1 uma capacidade efetiva de cerca de 20 pF. Outro fator importante a considerar é a 9600 Ba
On Ovf0 Tim0_isr A indutância contribui com 15 pF, sendo estabilidade da frequência com a tempera- Agora, é
On Ovf1 Tim1_isr os restantes 5 pF devidos a capacidade de tura. O fato de o circuito contribuir apenas em cap
Enable Timer0
entrada do LM311 e às restantes capaci- com 5 pF é uma ajuda. Mas ainda temos pode se
Enable Timer1
dades parasitas. de lidar com os 15 pF da bobina. A única ais no B
Do Se utilizar um osciloscópio para visualizar forma de abordar esta questão é fazendo os valor
Ticks = 0 a forma de onda aos terminais da bobina algumas experiências. No caso do protó- são nem
Enable Interrupts pode-se verificar que a sua amplitude é tipo, construído no laboratório da Elektor siado p
Waitms 1100 de aproximadamente 1 V para a frequên- (Figura 2), a estabilidade era suficiente precisão
Disable Interrupts
cia máxima. Verifica-se, igualmente, que para se conseguir uma sensibilidade de 1 operaçõ
Lcdpos = 2 : Lcdline = 1 :
Lcd_pos a forma de onda é a de uma senoide um femtofarad! A uma frequência de 350 kHz cia de 1
Lcdtext = “Freq = “ pouco distorcida. Isto significa que o nível e 20 pF, a variação de 1 Hz corresponde a fator de
Lcdtext = Lcdtext + de excitação poderia ser mais reduzido. uma alteração na capacidade de 0,1 fF. em pico
Str(freq) Contudo, ao aumentar a capacidade do detetad
Lcdtext = Lcdtext + “ Hz sensor a amplitude decresce bastante, Medição da frequência valor di

tornando-se numa senoide mais per- A medição de frequência foi já discutida por exem
Lcd_text
Print Freq; feita. O oscilador continuará funcionando em outros artigos dedicados ao Bascom e terá de
Print “ Hz” mesmo com uma capacidade de 100 nF. AVR. A entrada para o contador T1 (PD5) e A tolerâ
C = Freq / 10000000 Neste caso, a frequência será de 4,9 kHz e a frequência em Hertz pode ser obtida com que a ca
C = 1 / C a amplitude de 0,1 V, deixando de funcio- um período de um segundo. Os dados são potenci
C = C * C nar um pouco acima deste valor. enviados para o PC a uma velocidade de A capaci
C = C / 39.48
pF. Claro
If Pinb.0 = 0 Then C0 = C
C = C - C0 fatores,
Print Fusing(c , “#.###”); Capacidade do corpo humano ponente
Print “ pF” impress
Lcdpos = 2 : Lcdline = 2 : Se colocar a mão sobre o oscilador (Figu- a frequência de áudio, de modo suave
zada, po
Lcd_pos ras 1 e 2), vai ver que a capacidade e contínuo. produzi
Lcdtext = “Cap =” medida se altera em alguns femtofarads, Pode fazer a mesma experiência com este A única
Lcdtext = Lcdtext +
Fusing(c , “#.###”) mesmo que o cabo do sensor não esteja oscilador. Ligue uma placa de circuito é a calib
Text = Fusing(c , “#.###”) ligado. No protótipo obtivemos os se- impresso com o formato Eurocard (100 Nada m
Lcdtext = Text guintes resultados, em função da distân- sionar o
Lcdtext = Lcdtext + “ pF
x 160 mm) para funcionar como sensor.
cia da placa à nossa mão: capacid
“ Esta placa vai adicionar cerca de 17 pF à
Esta ope
Lcd_text 5 cm 0,005 pF malha ressonante, fazendo baixar a sua
Waitms 10
sária, po
4 cm 0,009 pF frequência para 260 kHz, na banda das tribuirá
Loop
ondas longas, pelo que pode captar o seu da orde
3 cm 0,020 pF
sinal num rádio. Com um pouco de sorte, a capac
Tim0_isr: 2 cm 0,040 pF zenada,
pode até encontrar um sinal em onda-
‘1000 µs funcion
Timer0 = 6 1 cm 0,100 pF longa que interfira com o do oscilador,
Ticks = Ticks + 1
Os valor
Trata-se de um fenômeno físico interes- provocando uma frequência de batimen-
If Ticks = 1 Then série e p
sante, já bem conhecido de quem traba- to. A partir daí, pode começar a fazer play no
Timer1 = 0
Highword = 0 lha com circuitos de rádio. Se o receptor música! rupções
End If não estiver bem blindado, a sua sintonia Todos os gatos da vizinhança vão provavel- subrotin
If Ticks = 1001 Then
pode alterar-se ligeiramente apenas mo- mente fugir, mas isso não deve impedir todas as
Lowword = Timer1
Freq = Highword * 65536 vendo a mão próximo dele. Algumas pes- de prosseguir com os seus ensaios,
Agora o
Freq = Freq + Lowword soas utilizam este efeito para a sintonia
a capaci
mesmo que nunca venha a competir com
Ticks = 0 riências
fina quando recebem sinais SSB. o virtuoso Lydia Kavina, o inventor do
End If a tempe
Return Existe também um instrumento musical Theremin. A maior variação na capacidade, bobina
baseado neste efeito, com o nome cerca de 0,1 pF, ocorre a uma distância rações n
Tim1_isr:
Highword = Highword + 1 Theremin. Os movimentos da mão alteram de 5 cm, devido ao tamanho do sensor. peratur
Return medida
pF. Se o

46 03-2010 elektor elektor

080707_medidor_nivel_liquido.indd 46 12/02/10 18:39


erar é a 9600 Baud, sem qualquer correção de erros. desconhecida, a influência da tempera-
empera- Agora, é necessário converter a frequência tura é muito importante. Para se medir
r apenas em capacidade. A fórmula de conversão uma capacidade com a precisão de alguns
a temos pode ser dividida em operações individu- femtofarads, é necessário garantir que
A única ais no Bascom. É importante garantir que o oscilador estabilize-se durante alguns
fazendo os valores intermédios dos cálculos não minutos, para depois calibrar o sistema. O Figura 2. Protótipo com o oscilador
construído numa pequena placa para
o protó- são nem demasiado grandes, nem dema- valor medido muda menos de 5 fF ao longo
protótipos.
Elektor siado pequenos, sob pena de se perder de vários minutos.
ficiente precisão. Por esta razão, a sequência das
ade de 1 operações é muito importante. A indutân- Medição de capacidade
350 kHz cia de 10 mH vai ser expressa como um Quando se trabalha em circuitos de radiofre-
ponde a fator de 10.000.000, para se obter um valor quência existe sempre algo que tem de ser
,1 fF. em picofarads, no final dos cálculos. Se for medido, como por exemplo uma capacidade
detetada, por comparação de medidas, um variável, e isso também é possível com este
valor diferente para a indutância, como circuito. Acontece muitas vezes de recupe-
iscutida por exemplo mais 1%, ou menos 1%, então rarem-se os capacitores variáveis de velhos
ascom e terá de se corrigir os cálculos de acordo. rádios, pois não são fáceis de encontrar no
(PD5) e A tolerância para a bobina é de 5%, pelo mercado. Naturalmente, terá de medi-los
ida com que a capacidade medida também terá um para saber o seu valor. Se encontrar algum
ados são potencial erro de 5%. que varie entre 8 pF e 520 pF, ótimo.
dade de A capacidade C0 em circuito aberto é de 20 Também podem ser medidos capacitores
pF. Claro, o valor exato depende de vários SMD, diodos varicap, capacidade de entrada
fatores, incluindo as tolerâncias dos com- de FETs e capacidade de cabos, por exem-
ponentes, o desenho da placa de circuito plo. Pode até calcular o comprimento de um
impresso, e mesmo o tipo de solda utili- cabo, medindo a sua capacidade. Suponha
zada, pois a sua constante dielétrica pode que tem um cabo enrolado, e que desco-
produzir um efeito de alguns femtofarads. nhece o seu comprimento. O medidor de
A única solução para contornar estes erros capacidade pode facilmente resolver o pro-
L
é a calibração. blema. A capacidade por metro está dispo-
Nada mais fácil. Quando o utilizador pres- nível na folha de características do cabo.
sionar o botão associado à porta B0, a Por exemplo, um cabo de 50 Ω RG58 possui
capacidade C0 é medida e armazenada. uma capacidade de 100 pF/m. Se não sou-
Esta operação é, de qualquer modo, neces- ber o valor desta capacidade, basta medir
sária, pois o cabo para ligar o sensor con- a de um cabo de 1 m. Depois de conhecido h
tribuirá também com alguma capacidade, este valor, resta medir a capacidade do cabo
da ordem dos 10 pF. Consequentemente, e dividi-la pela capacidade por metro, para
a capacidade em vazio é medida e arma- obter o seu comprimento. Como a frequên-
zenada, para ser tida em conta durante o cia de medição é muito inferior a um quarto
funcionamento do sistema. do comprimento de onda, a indutância do
Os valores medidos passam para a interface cabo pode ser desprezada. Por exemplo, a
série e para o LCD. Não foi fácil incluir o dis- 100 kHz o comprimento de onda é de 3 km.
play no programa, devido às várias inter-
rupções e a algumas variáveis utilizadas em Medição do nível de líquido
subrotinas. A solução passou por declarar O sensor de nível foi construído com um
todas as variáveis globais (Listagem 1). tubo de Plexiglas (policarbonato). Foi
Agora o programa apresenta a frequência e colocado um fio isolado no interior do
a capacidade, possibilitando algumas expe- tubo e centrado o mais possível. As duas 080707 - 11
riências para melhorar a estabilidade com extremidades do tubo foram convenien-
a temperatura, por exemplo, aquecendo a temente seladas (Figura 3). O condutor Figura 3. O sensor é constituído por um
bobina com a mão e observando as alte- tem de estar perfeitamente isolado (iso- tubo, com um eletrodo central isolado,
rações na saída. Com o aumento de tem- lamento galvânico) da parte interior do que forma um capacitor cilíndrico. L é
peratura de cerca de 20 ˚C a capacidade tubo. Em seguida, o tubo é envolvido em o comprimento da parte ativa do tubo
medida aumenta aproximadamente 0,15 folha de alumínio, aplicada tão unifor- (envolvido em folha de alumínio) e h a
pF. Se o objetivo é medir uma capacidade memente quanto possível, sendo depois altura variável do líquido dentro do tubo.

elektor elektor 03-2010 47

080707_medidor_nivel_liquido.indd 47 12/02/10 18:39


Montagem

od2
2⋅ π ⋅ ε0 ⋅ εr ⋅l
od1 c=
 od  Tabela 2.
Ci id2 LN 
 id  Tubo sensor (Figura 6).
id1 Diâmetro exterior: 12 mm
Cx ε0 = constante dielétrica no vácuo e no ar
(8,854 x 10-12 As/Vm) Diâmetro interior do tubo
Co εr = constante dielétrica relativa (depen-
exterior: 8,5 mm
dente do material)
l = comprimento do cilindro Comprimento: 300 mm
od = diâmetro do eletrodo exterior (no Diâmetro do condutor
nosso caso é od2)
interno: 0,4 mm
id = diâmetro do eletrodo interior (no nosso
caso é id1) Diâmetro exterior do condutor
Combinando as duas constantes e conver- interno: 0,6 mm
tendo os metros para milímetros, obtém-
Constante dielétrica do tubo
se a seguinte fórmula: Figura 7
exterior: 3,0
0.0556⋅ εr
c= ⋅l pF/mm
 od  Constante dielétrica
080707 - 12 LN  Pode us
 id  do tubo interior: 2,3
zir um g
Figura 4. Os capacitores concêntricos da Se o capacitor cilíndrico consistir em várias Constante dielétrica do líquido: 83 cidade t
estrutura do sensor. camadas concêntricas, cada uma formará o líquido
um capacitor separado (no nosso caso Co, zada um
Cx e Ci). A capacidade total é então deter- conforme o tipo de material. água. A
CiL CxL CaL minada pela combinação em série destes Estes valores são vantajosos para a nossa função d
capacitores individuais (Figura 4). Se divi- aplicação, porque a diferença entre as as dime
dirmos o capacitor em duas partes, uma constantes dielétricas relativas dos mate- Agora, o
preenchida com água ou outro líquido riais e da água são bastante diferentes, sig-
CiW CxW CaW (Cw), e outra com ar (Ca), a capacidade nificando que vai existir uma grande dife-
total no tubo será Ct = Cw + Ca (ligação em rença entre as capacidades da parte do
080707 - 13
paralelo). O comprimento da porção pre- tubo com líquido e a restante.
enchida com água é h e a da outra parte A capacidade da parte com ar é dada por:
Figura 5. Esquema equivalente das L-h. O circuito equivalente é apresentado 0.0556⋅ 2.3⋅(l − h )
capacidades presentes no tubo. na Figura 5. CiA =
 id 2 
A constante dielétrica relativa (εr) do ar é LN 
 id1 
ligada a um fio, com um pouco de fita iso- 1, enquanto a da água depende da tempe-
lante. Este fio e o fio interior constituem ratura, variando entre 55 e 88 (cerca de 83
os terminais do sensor. a 10 °C). A constante dielétrica do plástico 0.0556⋅1⋅(l − h )
Cxl =
Um capacitor cilíndrico possui uma forma transparente é de 3, no caso de policarbo-  od1 
rotacional simétrica, pelo que a sua capa- LN 
nato ou poliestireno, e de 3,2 no caso de  id 2 
cidade se pode calcular facilmente através acrílico. A constante dielétrica do isola-
da seguinte fórmula: mento do fio pode ser de 2,3 ou de 4 a 5,
0.0556⋅ 3⋅(l − h )
CoA =
 od 2 
LN 
 od1 
350
Enquanto que as capacidades da parte pre-
300
enchida com líquido são dadas por:
Altura do líquido (mm)

250
0.0556⋅ 2.3⋅ h
CiW =
200
 id 2 
LN 
150  id1 
100
0.0556⋅ 83⋅ h
CxW =
50
 od1 
LN 
0  id 2 
0.000 10.000 20.000 30.000 40.000 50.000 60.000
Capacidade (pF) 080707 - 14
0.0556⋅ 3⋅ h
CoW =
Figura 6. A capacidade aumenta linearmente em função da altura do líquido no sensor.  od 2 
LN 
 od1 
48 03-2010 elektor elektor

080707_medidor_nivel_liquido.indd 48 12/02/10 18:40


Oscilador
od1
+5V
Cx
id2

Sensor C
fout

Ci id1
GND

LCD 20 x 4 Cx

DATA
CLK
+5V
GND 080707 - 15

Figura 7. Ligação do sistema de medição à placa ATM18. water

Pode usar uma folha de cálculo para produ- zado com uma bobina, para formar uma Tubo de aço inoxidável 080707 - 17
zir um gráfico com a relação entre a capa- malha ressonante. A sua frequência de
cidade total e a da parte preenchida com ressonância é dada por uma fórmula bem
o líquido. Esta relação é linear, se for utili- conhecida: Figura 8. Construção simplificada de
zada uma constante dielétrica fixa para a 1 um sensor, utilizando um tubo exterior
f 0= de aço inoxidável ou cobre e um tubo
água. A Figura 6 mostra a capacidade em 2⋅ π ⋅ ( L ⋅C )
interior também de cobre, isolado com
a nossa função da altura do líquido, num tubo com
manga térmica.
entre as as dimensões da Tabela 2. A frequência gerada pelo circuito osci-
os mate- Agora, o sensor está pronto para ser utili- lador vai-nos permitir calcular a capaci-
tes, sig- dade e determinar a altura do líquido no
de dife- sensor.
arte do Primeiro mede-se a capacidade com o
Listagem 2. sensor vazio (h=0), obtendo-se C min. De
da por: Calibração é cálculo da altura do líquido seguida, sabendo-se o calor da capaci-
no sensor ‘Calibrate Minimum Value
dade com o liquido já no sensor, obtém-
Sub Calibmin
-se a altura:
Hmin = 0.0 Print “Minimum
L ⋅(Cmeasured − Cmin )
Hmax = 300.0 Calibration” h=
Cmax − Cmin
Getminmax Bitwait Pind.7 , Set
If Cmax <= Cmin Then Cmin = Cap A precisão mecânica da construção do
Cmin = 7.0 Print “Cmin” ; Cfix ; “ sensor e a tolerância da indutância não são
Cmax = 52.0 importantes, nem a precisão da medição
pF”
End If da frequência ou os materiais usados na
Eadr = Eadrcmin
… construção. É medida apenas a diferença
Writeeeprom Cmin , Eadr entre dois estados.
Sub Calclevel End Sub O oscilador (Figura 2) deve ser colocado
‘ensure that: Hmax>Hmin tão próximo do sensor quanto possível, de
and Cmax>Cmin ‘calibrate Maximaum Value modo a minimizar capacidades parasitas
arte pre- If Cap < Cmin Then Cap = do cabo e reduzir possíveis interferências.
Sub Calibmax
r: Cmin
Print “Maximum
K = Hmax - Hmin Software
D = Cmax - Cmin Calibration” O programa Level.bas utiliza a interface
If D = 0 Then D = 0.01 Bitwait Pind.6 , Set série e o display LCD. Além disso, é apre-
‘avoid division by zero Cmax = Cap sentada a frequência e a capacidade, além
K = K / D Print “Cmax” ; Cfix ; “ da altura do líquido no sensor. Um par de
D = -k pF” botões, ligados a PD6 e PD7, podem ser
D = D * Cmin utilizados para calibração, cujo resultado se
Eadr = Eadrcmax
Y = Cap * K armazena na EEPROM. Os valores padróes
Y = Y + D Writeeeprom Cmax , Eadr indicam uma altura de 0 para uma capaci-
Yfix = Y End Sub dade de 7 pF e uma altura de 300 mm para
End Sub uma capacidade de 52 pF. Se ajustar o nível
do líquido para zero e pressionar o primeiro

elektor elektor 03-2010 49

080707_medidor_nivel_liquido.indd 49 12/02/10 18:40


MontageM

botão (PD7), a capacidade medida pelo cir- Se esta diferença não for aceitável, terá de Será ainda mais fácil se permitir que o
cuito nessa altura é copiada para a variável se medir a temperatura do líquido, encon- líquido fique em contacto com o tubo (ele-
Cmin e armazenada na memória. Se depois trar a constante dielétrica numa tabela e efe- trodo exterior) no caso do líquido ser con-
encher o sensor até à altura de 300 mm e tuar as correções necessárias. Infelizmente, dutor (como acontece com a água normal).
pressionar o segundo botão, a capacidade o processo de calibração deixa de ser útil Neste caso, o líquido vai atuar como o ele-
medida nessa condição é copiada para a neste caso, obtendo-se a altura com base trodo exterior do capacitor (Figura 8). Aqui
variável Cmax. Estes dados são armazena- apenas em cálculos teóricos. Nesta situação, existe também uma relação linear entre a
dos na EEPROM, para que não se percam a precisão da construção, os valores exatos capacidade e o nível do líquido. A tempe-
quando se desliga o circuito (Listagem 2). das constantes dielétricas e a precisão da ratura é irrelevante, desde que a condu-
Se a capacidade parasita do cabo (tipica- bobina de referência e da medição de fre- tividade do líquido seja muito superior à
mente da ordem dos 33 pF) for tomada em quência passam a ter um papel importante. condutividade do isolamento do eletrodo
conta, os valores medidos aproximam-se Também a capacidade parasita do cabo deve central, tal como acontece com a água das
bastante dos valores teóricos. Isto signi- ser mediada exatamente. torneiras.
fica que se forem tidas em conta as capa- A construção do sensor pode ser compli-
cidades parasitas e a dependência da Escolha dos materiais cada, pois o eletrodo interior não pode ser
temperatura é possível implementar uma Um fio com isolamento em poliestireno preso dos dois lados. A melhor alternativa é
medição baseada em cálculos, sem cali- (PE) será a melhor escolha para o condutor utilizar um tubo de cobre fino e isolá-lo com
bração, e mesmo assim obter resultados interno, comparado com um cabo isolado manga térmica, para que não fique em con-
satisfatórios. com PVC, pois a constante dielétrica no pri- tato com o líquido. Para manter o eletrodo
Como já foi referido, esta abordagem apenas meiro caso varia pouco, situando-se entre os central centrado no eletrodo exterior, for-
é possível assumindo que a constante dielé- 2,28 e os 2,3. Este cabo pode ser obtido uti- mado por exemplo por um tubo de aço ino-
trica do líquido, neste caso água, se mantém lizando o condutor e isolamento interno de xidável ou cobre, podem ser utilizadas rolhas
constante após a calibração. um cabo coaxial. Se o dielétrico for transpa- de garrafas de espumante. Não se esqueça
O erro devido à variação com a tempera- rente o seu valor relativo será de 2,3. Pode de fazer um furo para a ventilação.
tura depende das dimensões do sensor, e também ser utilizado um tubo de vidro para
deve ser de aproximadamente 1 mm por o eletrodo central. Neste caso, a constante (080707-1)
cada 20 ºC. dielétrica relativa será entre 6 e 8. artigo original: Brim Full – May 2009

50 03-2010 elektor

080707_medidor_nivel_liquido.indd 50 12/02/10 18:40


E-LABS

Um Celular
muito barato
Jens Nickel

Quando pensávamos que os celulares não podiam ser menores, eis ria, um alto- falante e um microfone. Nesta altura, Antoine parecia
que os nossos colegas do laboratório da Elektor conseguem “deitar realmente surpreendido: “Uau, isto é mesmo pequeno”. Apesar do
as mãos” a um do tamanho de um cartão de crédito por um preço de seu reduzido tamanho, o microfone fornece uma qualidade de voz
pouco menos de 25 dólares (não incluindo as taxas de importações). surpreendentemente boa.
Sem contrato, sem bloqueio do cartão SIM ou qualquer restrição! O A iluminação de fundo do teclado é essencial durante a noite e neste
Simvalley RX-80 Pico vem com um LCD de 1,2 polegadas, suporta caso a iluminação é fornecida por alguns LEDs. Tivemos a oportuni-
mensagens SMS, lista telefônica, e até mesmo um teclado com ilumi- dade de alimentar o telefone com uma fonte de tensão de 3 V (ver
nação de fundo. Intrigado? Vamos dar uma “espiada” no seu interior! foto de cima à direita).
Não é apenas o preço, mas também o tamanho e o peso do Simvalley O restante do circuito está escondido atrás de uma placa que serve
RX-80 Pico da Pearl Diffusion que é espantoso. Com 50 x 80 x 10 mm e para blindagem RF. A pistola de ar quente não resultou muito bem
apenas 44 g, este celular pode ser facilmente guardado numa carteira neste caso, tivemos que usar uma ferramenta Dremel.

ou bolsa. Heiko Loy, porta-voz da Pearl para a imprensa, disse-nos que O grande circuito integrado é uma memória Flash produzida pela
este telefone de 25 dólares é produzido em massa na China. empresa Eon Silicon Solution [2], de Taiwan. O mais provável é que
Antoine Authier, responsável do nosso laboratório, investigou com seja usada para armazenar as mensagens de texto SMS, a lista telefô-
mais detalhe o aparelho. Com uma ferramenta de plástico desenhada nica, a informação das chamadas e as várias versões do menu de texto
para abrir iPods, rapidamente separou as duas metades da caixa. A para cada opção de linguagem.
placa de circuito impresso está fixada por clips na parte inferior da O segundo circuito integrado possui a inscrição SKY77518-21 identi-
caixa (os parafusos seriam muito caros!). ficando-o como um módulo GSM dual-band produzido pela Skyworks
Solutions [3]. O terceiro circuito integrado (7880 1.3G FC GO914) é
Um pequeno pedaço de fita adesiva segura o LCD à placa de circuito provavelmente uma versão do antigo processador de banda base GSM
impresso, este é facilmente removido enquanto Antoine segura uma 7880, comercializado pela Infineon.
pistola de ar quente. O display de 1,5 mm de espessura está ligado à (090502-1)
placa de circuito impresso através de 14 pinos. Procuramos algum Artigo original: A dirt cheap mobile phone – September 2009
tipo de marca de identificação neste componente, mas infelizmente
não tivemos sorte desta vez. [1] www.pearl.fr
Na placa de circuito impresso podemos ver o suporte para o cartão [2] www.eonssi.com
SIM, o conector USB para carregar a bateria, as ligações para a bate- [3] www.skyworksinc.com

elektor 03-2010 51

090502_telemovel_barato.indd 51 12/02/10 18:40


MEDIÇÃO & TESTE

Gerador de sinais senoidais


cias úte
27 MHz
nossa so

com lógica programável


(Compl
EPM706
será dize
mentad
ou mesm

Síntese digital direta usando gerar sin


Diagram
O coraç

um CPLD da Altera tro de f


Este reg
constan
minada
Jean Christophe Humez (França) em ove
do sinal
Gerar um sinal estável de alta-frequência a partir de um cristal é simples, mas nem sempre é possível arranjar a atua ass
repor o
frequência que se pretende. Claro que, pode-se sempre encomendar cristais feitos por encomenda, mas nem iniciar u
todos podem-se dar a esse luxo, e também não é necessariamente muito prático. Uma solução mais flexível Desta fo
se de um
consiste na síntese digital direta (DDS). Existem no mercado vários dispositivos DDS (Direct Digital Synthesis), gera a f
técnica
mas por norma são um pouco complexos de usar. Aqui apresentamos um compromisso entre a flexibilidade de cia, com
um dispositivo DDS e da inflexibilidade de um cristal: lógica programável. dimensã
Um dete
tro de fa
Os geradores de frequência programá- tabela q
Especificações técnicas veis foram já tratados algumas vezes na pretend
Elektor [1] [2], mas sempre usando um onda sen
• Sintetizador de frequência DDS (Direct Digital Synthesizer). circuito integrado especial baseado numa maior p
• Frequência de saída entre 610 Hz e 28 MHz, com uma resolução de 610 Hz. PLL (Phase Locked Loop). No noss
Neste caso vamos utilizar um princípio de fase
• Possibilidade de implementar modulação binária em frequência FSK (Frequency
diferente para chegar ao mesmo resul- em cada
Shift Keying). tado. Estamos a falar de circuitos que clock de
• Dispositivo de lógica programável EPM7064SLC44-10 (ou LC44-7), Altera. sintetizam diretamente sinais senoidais Deste m
• Frequência de funcionamento: 70 MHz. (DDS), que nos permitem gerar sinais com é de 27,
frequências específicas de grande preci- de calcu
• Rede do conversor D/A: R-2R.
são. Estes consistem numa solução digital bits = 21
• Filtragem na saída através de um circuito LC. em concorrência direta com as soluções
• Tensão de alimentação de 5 V. que utilizam PLL. Existem alguns circuitos increme
integrados para implementar esta função,
mas não há assim tantos, e também não
são fáceis de obter. Os quat
Oscilador O série AD98xx da Analog Devices é um tro de fa
conjunto de dispositivos DDS com dife- em mem
70 MHz
Xtal
rentes graus de velocidade e precisão, bits de
mas estes circuitos integrados são difíceis (Tabela
16 níveis de amplitude por
de implementar, especialmente se apenas bits (R-2
Incrementa em cada pulso
do sinal de relógio
período da onda sinusoidal
27.12 MHz
precisar de gerar uma única frequência. tal/anal
Conversor
Têm que ser configurados usando regis- um filtr
tros internos, visto que é necessário recor- tral de 2
Registo de 16 bits Filtro rer a um microcontrolador. Além disso, senoida
D/A
Incrementado com 8 bits
estão disponíveis em encapsulamentos O circuit
25.390 (decimal) muito pequenos (SSOP de 28 pinos para A Figura
o AD9851), e a sua montagem não está nosso o
Onda sinusoidal ROM
Quatro bits 8 bits
ao alcance de qualquer amador. Neste FMA per
Acumulador de fase
mais singificativos artigo, sugerimos uma solução simples e quência
CPLD eficaz baseada no princípio DDS, mas sem uma mo
080750 - 11
a complexidade de um dispositivo DDS, (Freque
Figura 1. Diagrama de blocos do gerador DDS. que nos permite gerar sinais com frequên- O sinal

52 03-2010 elektor elektor

080750_mt_gerador_sinais.indd 52 12/02/10 18:40


cias úteis para aplicações de rádio, como
27 MHz para a banda do cidadão (CB). A
nossa solução utiliza um dispositivo CPLD
(Complex Programmable Logic Device) +5V +5V +5V

EPM7064SLC44-10 da Altera. Dispensável


será dizer que esta solução pode ser imple- C1 C2 C11 C5
L1
C7
L2
C10

mentada com outros dispositivos FPGA, 1 14 IC2 100n 100n 10μ Tant. 33p 1μH
33p
1μH
10n

ou mesmo com microcontroladores para 70 MHz


C6 C8
T3

gerar sinais de frequências mais baixas. 8 3 15 23 35


T1
10n
T2
10n J310

VCC
VCC
VCC
VCC
Diagrama de blocos Oscilador 43
E_CLK S_OUT7
17
R5
4k7
C3
C9

O coração de um sistema DDS é o regis- 7 10n


J310 J310

4k7

4k7
+5V R6 R7 10n

tro de fase (ou o acumulador de fase). S_OUT6


18
R8
4k7 R28 R29 R30 R31 R32

Este registro é incrementado por uma C4

470 Ω

470 Ω
IC1

1M

1M
4k7

4k7
R1 R2 R9 R10

1k
+5V R11
constante a uma velocidade fixa predeter- 20
10n

10k

10k
K1 S_OUT5 4k7

minada. Quando o registro de fase entra JTAG

4k7

4k7
R12 R13
10 9 7 R14
em overflow, completa-se um período 8 7
TDI
S_OUT4
24
4k7

do sinal de saída. A condição de overflow 6 5 13

4k7

4k7
TMS R15 R16
4 3 38 R17 27.12 MHz
njar a atua assim como uma função de reset para
TD0 26
2 1 32 S_OUT3 4k7
TCK

repor o registro num valor mais baixo, e

4k7

4k7
R3 R18 R19

nem iniciar um novo período do sinal de saída.


28
R20
10k

+5V S_OUT2 4k7

Desta forma, o registro de fase comporta-

4k7

4k7
R21 R22
vel
EPM70SLC44-7
R4 R23
29
se de uma forma ciclica, e é este ciclo que S_OUT1 4k7
10k

esis),

4k7

4k7
gera a frequência do sinal de saída. Esta Entrada modulação
FSK 4 31
R26
R24 R25

técnica permite gerar qualquer frequên- E_FMA S_OUT0 4k7

de de
GND
GND
GND
GND
GND
GND
S1 GND
cia, com uma resolução que depende da R27

4k7
Interruptor
de frequência 1 2 10 22 30 42 44

dimensão do registro de fase. 27.120 / 27.125 MHz

Um determinado número de bits no regis- 080750 - 12

tro de fase são usados para indexar uma


gramá- tabela que contêm a forma de onda que
ezes na pretendemos gerar – geralmente uma
do um onda senoidal. Quanto maior é esta tabela,
o numa maior precisão tem o resultado de saída. Figura 2. Diagrama do circuito para o oscilador baseado em DDS com CPLD.
No nosso sistema (Figura 1), o registro
incípio de fase possui 16 bits e é incrementado
o resul- em cada transição ascendente do sinal de
os que clock de 70 MHz, por um valor de 25.390.
noidais Deste modo, a frequência do sinal de saída Tabela 1. Conteúdo da ROM para a onda sinusoidal.
ais com é de 27,120 MHz. Este incremento é fácil
e preci- de calcular (com um registro de fase de 16 Entrada Função seno em graus Saída convertida para período [0-255]
o digital bits = 216 = 65536): 0000 seno(0) 127
oluções
rcuitos 27.120 MHz 0001 seno(22,5) 176
incremento = 65536 × = 25390
função, 70 MHz 0010 seno(45) 217
ém não 0011 seno(67,5) 245
Os quatro bits mais significativos do regis-
s é um tro de fase endereçam um banco de dados 0100 seno(90) 255
m dife- em memória, contendo 16 palavras de 8 0101 seno(112,5) 245
ecisão, bits de um ciclo de uma onda senoidal
difíceis (Tabela 1). Uma malha resistiva para 8 0110 seno(135) 217
apenas bits (R-2R) implementa a conversão digi- 0111 seno(157,5) 176
uência. tal/analógica. O sinal é depois filtrado por
1000 seno(180) 127
o regis- um filtro LC, com uma frequência cen-
o recor- tral de 27 MHz, de modo a obter um sinal 1001 seno(202,5) 78
m disso, senoidal limpo.
1010 seno(225) 37
mentos O circuito em detalhe
os para A Figura 2 mostra em detalhe o circuito do 1011 seno(247,5) 9
ão está nosso oscilador. Uma entrada binária E_ 1100 seno(270) 0
Neste FMA permite-nos selecionar entre duas fre-
mples e quências, permitindo desse modo efetuar 1101 seno(292,5) 9
mas sem uma modulação binária em frequência FSK 1110 seno(315) 37
o DDS, (Frequency Shift Keying).
equên- O sinal digital sai do dispositivo CPLD 1111 seno(337.5) 78

elektor elektor 03-2010 53

080750_mt_gerador_sinais.indd 53 12/02/10 18:40


MEDIÇÃO & TESTE

altas-frequências. A frequência central dos


080750 - 13
filtros LC situa-se em 27 MHz, calculada
usando a fórmula de Thomson:
Figura 3. Exemplo da onda senoidal digital antes (azul) e depois (vermelho) da filtragem.

frequência =1 / (2 π (LC ))

por uma porta de 8 bits sendo, por isso, parece muito como uma onda senoidal
necessário um conversor D/A para con- (Figura 3), pelo que é necessário um filtro Em que L representa a indutância e C a
verter esse sinal numa onda senoidal para melhorar a sua forma. Como temos, capacidade. Com um valor de 33 pF para o
analógica. Para evitar ter que se usar um em média, apenas 2,6 amostras por ciclo, capacitor (C) e 1 μH para a bobina (L), con-
dispositivo especial, usamos uma malha são geradas montes de frequências har- seguimos obter uma frequência central de
de resistências, com uma estrutura R-2R. mônicas indesejadas que precisam de 27 MHz.
Cada uma das oito saídas gera um nível de ser eliminadas. Esta tarefa fica a cargo de Código VHDL
tensão que contribui para o total da ten- dois filtros LC em série. O dispositivo CPLD é programado em lin-
são de saída. A filtragem faz uso de transistores JFET guagem VHDL. O programa divide-se em
O sinal de saída do conversor D/A não se J310, bem conhecidos na eletrônica das dois processos.

Listagem 1. Programa VHDL.

Os caracteres ‘-‘ indicam um comentário.

-- Direct Digital Synthesiser December 26, 2008


-- JC HUMEZ

LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;

ENTITY sine_dds IS

PORT – - definition of the CPLD external signals


(
-- CPLD inputs
E_CLK : IN STD_LOGIC; -- 70MHz master clock
E_FMA : IN STD_LOGIC; -- (frequency select FMA=0=>27.120MHz or FMA=1=>27.125MHz)
-- (to perform 0 or 1 FSK modulation)
-- CPLD outputs Processo
S_OUT : out std_logic_vector(7 downto 0) – sinewave amplitude coded in 8 bits Este con
); cia de 27

END sine_dds; Este con


counter ARCHITECTURE OF sine_dds IS
CPT, pel
-- definition of internal CPLD signals 65.536.
SIGNAL SIG_CPT : std_logic_vector(15 downto 0); -- phase accumulator transiçã
SIGNAL SIG_ESIN : std_logic_vector(3 downto 0); -- 4 MSBs of phase accumulator definido
SIGNAL SIG_SSIN : out std_logic_vector(7 downto 0) – sinewave amplitude
E_CLK’E
BEGIN -- start of architecture
--//-- start of phase accumulator process
PROCESS (E_FMA,E_CLK) Para alc
BEGIN 27,120 M
IF (E_CLK’EVENT AND E_CLK = ‘1’) THEN número
IF E_FMA = ‘0’ THEN dente d
--here FMA0 27.120MHz -- formula = 65536/70MHz*27.120MHz = 25390
SIG_CPT <= SIG_CPT + 25390;
SIG_CPT
ELSE
--here FMA1 27.125MHz -- formula = 65536/70MHz*27.125MHz = 25395 Em segu
SIG_CPT <= SIG_CPT + 25395; nos isola
de SIG_C

54 03-2010 elektor elektor

080750_mt_gerador_sinais.indd 54 12/02/10 18:40


END IF;
END IF;

END PROCESS;
--//-- end of phase accumulator process

SIG_ESIN(3 downto 0) <= SIG_CPT(15 downto 12); -- only the 4 MSBs are retained
ntral dos
alculada --//-- start of memory process
PROCESS (E_CLK)
BEGIN
IF (E_CLK’EVENT AND E_CLK = ‘1’) THEN – clock rising edge
CASE SIG_ESIN IS
WHEN “0000” => SIG_SSIN <= “01111111”; --127
WHEN “0001” => SIG_SSIN <= “10110000”; --176
ia e C a WHEN “0010” => SIG_SSIN <= “11011001”; --217
F para o WHEN “0011” => SIG_SSIN <= “11110101”; --245
(L), con- WHEN “0100” => SIG_SSIN <= “11111111”; --255
WHEN “0101” => SIG_SSIN <= “11110101”; --245
ntral de
WHEN “0110” => SIG_SSIN <= “11011001”; --217
WHEN “0111” => SIG_SSIN <= “10110000”; --176

em lin- WHEN “1000” => SIG_SSIN <= “01111111”; --127


e-se em WHEN “1001” => SIG_SSIN <= “01001110”; --78
WHEN “1010” => SIG_SSIN <= “00100101”; --37
WHEN “1011” => SIG_SSIN <= “00001001”; --9
WHEN “1100” => SIG_SSIN <= “00000000”; --0
WHEN “1101” => SIG_SSIN <= “00001001”; --9
WHEN “1110” => SIG_SSIN <= “00100101”; --37
WHEN “1111” => SIG_SSIN <= “01001110”; --78

WHEN OTHERS => SIG_SSIN <= “00000000”;

END CASE;
END IF;

END PROCESS;
--//-- end of memory process

S_OUT <= SIG_SSIN; -- sinewave output amplitude coded in 8 bits

END counter; -- end of architecture

Amostra = 127.5 × sin( N π / 8 ) +127.5


Processo 1: acumulador de fase SIG_ESIN (3 downto 0) <= SIG_CPT (15
Este contador funciona com uma recorrên- donwto 12)
cia de 27,120 MHz ou 27,125 MHz. onde N vai de 0 a 15. A Tabela 1 mostra os
Processo 2: onda senoidal digital 16 valores armazenados na ROM.
Este consiste no registro de 16 bits SIG_ O segundo processo envolve ter uma Construção
CPT, pelo que o seu valor máximo é 216 = pequena memória contendo os oito O protótipo foi construído numa placa
65.536. Este processo é executado a cada bits do sinal senoidal digital, e tam- para protótipos com plano de massa.
transição ascendente do sinal de 70 MHz, bém é executado a cada transição Não há qualquer ajuste. Na Figura 4
definido pela condição: ascendente do sinal de 70 MHz. Esse pode ver o oscilador de 70 MHz, o disposi-
processo faz com que cada um dos tivo CPLD, os três transistores JFET com as
E_CLK’EVENT E E_CLK = ‘1’ 16 valores de SIG_ESIN corresponda a bobinas, o conector de programação JTAG
uma amostra do sinal senoidal digital e um regulador de 5 V para a alimentação.
Para alcançar a nossa taxa de repetição de SIG_SSIN. Esta função é obtida usando Na parte de trás da placa (Figura 4b), a
27,120 MHz, precisamos de acrescentar o a instrução malha de resistências R-2R é construída
número 25.390 em cada transição ascen- usando resistências SMD 0805.
dente dos 70 MHz: CASE Dependendo do estado (0 ou 1) da entrada
... E_FMA, ficamos com uma das duas fre-
SIG_CPT <= SIG_CPT + 25390 WHEN quências. Esta entrada E_FMA destina-se
Em seguida, a variável SIG_ESIN permite- a uma modulação binária FSK.
nos isolar os quatro bits mais significativos As amostras do sinal senoidal digital são As Figuras 5a e 5b mostram os dois sinais
de SIG_CPT: calculadas da seguinte forma: de saída do circuito visualizados num osci-

elektor elektor 03-2010 55

080750_mt_gerador_sinais.indd 55 12/02/10 18:40


MEDIÇÃO & TESTE

Figura 4. Protótipo do circuito montado numa placa perfurada para protótipos (esquerda). A malha R-2R é montada na face das
soldaduras da placa (direita).

loscópio digital. pequena pesquisa na Internet mostrou que fases, por exemplo, dois sinais sinusoidais
Programação de outras frequências a idéia de o construir usando um disposi- em quadratura.
A frequência mais alta que podemos obter tivo programável não está muito difun- (080750-1)
é cerca de 40% do valor da frequência de dida. No entanto, esta é uma solução Artigo original: Sinewaves in programmable Logic –
entrada. No nosso caso, 40% de 70 MHz muito simples que pode ir até frequên- March 2009
corresponde a 28 MHz. Qualquer frequên- cias RF, como neste caso até 28 MHz, utili-
cia pode ser calculada do seguinte modo: zando um dispositivo que não é, de forma
alguma, o dispositivo de lógica programá- Referências
frequência desejada vel mais rápido. [1] Cyberclocks USB, Elektor Nº 244, Abril
incremento= 65536 ×
70 MHz Não vale o esforço tentar encontrar o dis- de 2005.
positivo DDS que precisa e depois codifi- [2] Oscilador a cristal programável, Elektor
Que, para uma frequência de 12 MHz, por car o software para o configurar. É muito Nº 249, Setembro de 2005.
exemplo, dá um incremento de 11.235 mais simples construí--lo usando dispositi- Leitura adicional
(arredondando). vos mais acessíveis. A literatura que existe Folha de características do dispositivo DDS,
O filtro usado não permite um funciona- sobre este tema é imensa e muitas vezes por exemplo, o AD9851 da Analog Devices.
mento numa elevada gama de frequên- emprega grandes quantidades de informa-
cias. Para adaptar este circuito a uma fre- ção, conceitos teóricos e cálculos de aná-
quência de 12 MHz, o filtro LC correspon- lise de sinais que não seriam úteis para a
dente deverá ser: compreensão deste artigo.
Neste caso, o dispositivo CPLD apenas
está utilizado até 48% da sua capacidade.
12 MHz = 1 / (2 π ( LC )) Isto deixa espaço suficiente para que o
leitor possa adicionar um outro gerador
DDS, ou então melhorar este que aqui é
Que (quase) que acontece quando, por apresentado (por exemplo, aumentando
exemplo, C = 180 pF e L = 1 μH. o número de bits do registo de fase, ou
Conclusão aumentar a tabela com os dados da onda
O circuito que apresentamos neste artigo sinusoidal digital), ou ainda para gerar dois
não é nenhuma nova invenção, mas uma sinais de saída sinusoidais com diferentes O autor
Jean Christophe Humez é engenheiro
eletrônico, graduado na faculdade EN-
A B
SEEIHT em Toulouse, França. Ele passa
o seu tempo livre tirando fotografias
aéreas a partir de um papagaio, num
clube em França. Esta atividade, agora
com mais de 120 anos, envolve o dis-
paro remoto do obturador de uma câ-
mara instalada no próprio papagaio. É
uma atividade que se presta facilmente
a todos os tipos de soluções eletrônicas
para o controle da câmea. A câmera é
apontada e disparada através de uma
Figura 5. Saída de sinal após a filtragem: 27,120 MHz (A) e 27,125 MHz (B). ligação rádio.
A frequência é apresentada no canto inferior direito.

56 03-2010 elektor

080750_mt_gerador_sinais.indd 56 12/02/10 18:40


e das

usoidais

080750-1)
ble Logic –
arch 2009

44, Abril

, Elektor

vo DDS,
Devices.

elektor

080750_mt_gerador_sinais.indd 57 12/02/10 18:40


HARDWARE & SOFTWARE

TinyBrick M16C
Programável
em BASIC
Dr Uwe Altenburg (Alemanha)

O TinyBrick é um pequeno módulo microcontrolador


equipado com um potente microcontrolador M16C de 16 bits,
da Renesas. O módulo possui um interpretador BASIC para simplificar
o desenvolvimento de software. Os iniciados nestas andanças vão descobrir que
esta placa é o ponto de partida ideal, enquanto que os utilizadores mais experientes
vão apreciar as suas capacidades e conveniência. É também apresentada uma placa
de avaliação, que em conjunto com um TinyBrick vai permitir construir um pequeno
alarme contra intrusão que envia mensagens de texto SMS.

Foi por volta da década de 1980 que a rapidamente encontrou imensos amigos
Intel integrou no interior do microcon- no mundo semi-profissional e na comu- Especificações
trolador 8052 um interpretador BASIC. A nidade de amadores. O sucesso deste
Elektor foi uma das primeiras a testar este módulo incentivou muitas outras empre- do projeto
microcontrolador em aplicações concre- sas a produzir dispositivos equivalentes. Placa TinyBrick com processador:
tas. O circuito integrado tinha a referên- Um exemplo é a popular gama de contro- • Microcontrolador Renesas M16C29. Figura 1
cia 8052-AH-BASIC, e o interpretador que ladores C-Control produzida pela Conrad • 128 + 4 kB memória Flash, 12 kB
ficou conhecido como BASIC-52 tornou-se Electronics [3], Alemanha. RAM.
lendário como resultado das publicações O módulo TinyBrick usado neste artigo • 1 interface RS485/RS232 (TTL). dezas,
da Elektor. Muitas empresas independen- pode ser visto como um complemento • 1 interface RS232 (V24). Certam
tes rapidamente reconheceram o poten- a esta lista, mas com a vantagem de • 1 interface ISP. da Elek
• 8 saídas PWM (16 bits).
cial deste circuito integrado, entre elas, ter um potente microcontrolador de sic que
• 8 conversores A/D (10 bits).
a Micromint incorporou a memória RAM 16 bits. O M16C é um irmão maior do ladora c
• 2 entradas de interrupção (INT), 1
necessária, interfaces para comunicação popular R8C, que deve ser bem conhe- interface SPI, 1 interface I2C. de 2008
e lógica suplementar nos seus módulos cido dos leitores assíduos da Elektor. Um zada pa
Domino [1]. Em meados dos anos noventa, interpretador TinyBasic [4] vem já pré- Kit de componentes disponível, disponi
a Parallax [2] introduziu o módulo BASIC instalado no microcontrolador, criando inclui placa com componentes este pro
Stamp que utiliza um microcontrolador desse modo um ambiente de desen- SMD previamente instalados e e os ou
PIC ou um Parallax SX. volvimento simples e compacto, ideal microcontrolador com interpretador dos. Os
Enquanto que o conceito original do 8052 para o desenvolvimento de soluções de BASIC já carregado. Também conecto
BASIC se destinava a profissionais, o BASIC controle, especialmente para questões disponível uma placa de avaliação. que tam
Stamp (apesar da sua memória limitada) relacionadas com a medição de gran- Serviço

58 03-2010 elektor elektor

080719_tinybrick_m_16c.indd 58 12/02/10 18:42


+5V +5V
RXD2
JP1
IC2 8

R1 R7 VCC
C6
1

10k
100k
R
100n 6 TX-
TX/RX 2
3
7 TX+
RESET 62 12 11 10
P84/ P85/ TXD2 4
AVCC VCC D
C5 RESET 6 INT2/ZP NMI/SD 61 VREF R3
RESET VREF
GND

10k
100n
P0.0 60 2 5 75176
P100/AN0 P90/TB0IN
P0.1 58 1
P101/AN1 P91/TB1IN
P0.2 57 64
P102/AN2 P92/TB2IN +5V
P0.3 56 63
P103/AN3 IC1 P93/AN24
K1 P0.4 55 K2
P104/AN4/KI0
1 RXD P0.5 54 36 +5V 1
P105/AN5/KI1 P60/RTS0/CTS0
2 TXD P0.6 53 35 CLK0 P0.0 2
P106/AN6/KI2 P61/CLK0
3 DTR P0.7 52 34 RXD0 P0.1 3
P107/AN7/KI3 P62/RXD0
4 P1.5 33 TXD0 P0.2 4
P63/TXD0
5 P1.6 51 28 P6.4 P0.3 5
P00/AN00 P64/RTS1/CTS1
6 P1.7 50 27 P6.5 P0.4 6
P01/AN01 P65/CLK1
7 SSO 49 26 P6.6 P0.5 7
P02/AN02 P66/RXD1
8 SSI 48 25 P6.7 P0.6 8
P03/AN03 P67/TXD1
9 SCL P0.7 9
10 SDA P1.5 47 16 VREF 10
P15/INT3/ADTRG/IDV P80/TA4OUT/U
11 RXD2 P1.6 46 15 P7.4 11
P16/INT4/IDW P81/TA4IN/U
12 TXD2 P1.7 45 14 INT0 P7.6 12
P17/INT5/INPC17/IDU P82/INT0
13 CLK2 13 INT1 P2.0 13
P83/INT1
14 INT0 P2.0 44 P2.1 14
P20/OUTC10/INPC10/SDA
15 INT1 P2.1 43 P2.2 15
P21/OUTC11/INPC11/SCL
16 TX+ P2.2 42 24 TXD2 P2.3 16
P22/OUTC12/INPC12 P70/TXD2/SDA/TA0OUT
17 TX- P2.3 41 23 RXD2 P2.4 17
P23/OUTC13/INPC13 P71/RXD2/SCL
18 RESET P2.4 40 22 CLK2 P2.5 18
P24/OUTC14/INPC14 P72/CLK2/TA1OUT
19 NMI P2.5 39 21 TX/RX P2.6 19
P25/OUTC15/INPC15 P73/CTS2
20 GND P2.6 38 20 P7.4 P2.7 20
P26/OUTC16/INPC16 P74/TA2OUT/W +5V
+5V P2.7 37 19 INT0
P27/OUTC17/INPC17 P75/TA2IN/W
18 P7.6
P76/TA3OUT C4
SCL 32
P30/CLK3
M16C29 P77/TA3IN
17 INT1
R4 R5 R6 SSI 31
P31/SIN3 100n
K3 SSO 30 2
10k

10k

10k

P32/SOUT3
1 SDA 29 3 CNVSS C1 1 V+ 16
P33 CNVSS 100n C1+
2 P6.4 P87/ P86/
AVSS XIN XOUT XCIN XCOUT VSS
3 P6.5 3 IC3
59 9 7 4 5 8 C1–
4 P6.6 X1 X2 TXD0 11 14 TXD
T1IN T1OUT
5 XCOUT XCOUT CLK0 10 7 DTR
T2IN T2OUT
6 RXD0 12 13 RXD
R1OUT R1IN
7 9 8
C8 C7 C11 C10 R2OUT R2IN C9
8 RESET 4
C2+
9 CNVSS 22p 22p 15p 15p 100n
MAX202
10 P6.7 C2 5 15
C2–
R2 X1 = 18.432kHz X2 = 32.768kHz 100n V-
6
10k

C3

100n
080719 - 11

Figura 1. Diagrama do circuito do módulo TinyBrick.

dezas, controle, regulação e robótica. Circuito resistência R1 e o capacitor C5 formam a


Certamente, os leitores mais assíduos O diagrama do circuito do TinyBrick, a malha de reset enquanto o capacitor C6
da Elektor já devem conhecer o TinyBa- placa de circuito impresso e a configura- efetua o desacoplamento da tensão de
sic que foi usado na Placa microcontro- ção dos pinos são apresentados nas Figu- alimentação. Um cristal de 18,432 MHz
ladora com display, publicada em Junho ras 1, 2 e 3. No centro do circuito está o fornece o clock ao sistema; esta frequên-
de 2008. Tal como na placa disponibili- microcontrolador M16C29 (M30291FC) cia pode ser facilmente dividida interna-
zada para esse projeto, o Serviço Elektor da Renesas. O M16C29 está disponível mente para gerar o baud rate da porta
disponibiliza um módulo TinyBrick, para em encapsulamentos LQFP de 80 ou 64 série (300-115.200 Baud). O chip pode
este projeto, já com o microcontrolador pinos. A apertada utilização do espaço funcionar com frequências de clock até
e os outros componentes SMD monta- na placa de circuito impresso do Tiny- a um máximo de 20 MHz, visto o cristal
dos. Os outros componentes, como o Brick apenas permite usar a versão de usado não significa uma redução muito
conector e cristal, são oferecidos no kit 64 pinos. Qualquer circuito externo que grande na velocidade de processamento.
que também se encontra disponível no seja necessário ligar ao M16C é mantido Existe também um cristal de 32,768 kHz
Serviço Elektor. ao mínimo estritamente necessário. A que pode ser usado por um contador

elektor elektor 03-2010 59

080719_tinybrick_m_16c.indd 59 12/02/10 18:42


HARDWARE & SOFTWARE

RXD(V24) +5V
TXD(V24) P0.0/ADC0
DTR(V24) TinyBrick16 P0.1/ADC1
LCD_CS/P1.5 P0.2/ADC2
LCD_RES/P1.6 P0.3/ADC3
LCD_MODE/P1.7 P0.4/ADC4/COL0
SOUT/P3.2 P0.5/ADC5/COL1
SIN/SCL /P3.1 P0.6/ADC6/COL2
SCLK/P3.0 P0.7/ADC7/COL3
CARD/SDA/P3.3 VREF
RXD1(TTL)/P7.1 P7.4
TXD1(TTL)/P7.0 P7.6/SOUND
CLK1(TTL)/P7.2 P2.0/PWM0
INT0/CNT0/P8.2 P2.1/PWM1
INT1/CNT1/IRIN/P8.3 P2.2/PWM2
TX+ P2.3/PWM3
TX- P2.4/PWM4/ROW0
RESET P2.5/PWM5/ROW1
NMI P2.6/PWM6/ROW2/DIR0
GND P2.7/PWM7/ROW3/DIR1
TinyBrick16 080719 - 14

Figura 2. Placa de circuito impresso do Figura 3. Disposição dos pinos de saída do TinyBrick.
módulo TinyBrick.

Lista de componentes
Lista de componentes Semicondutores: K3= Suporte Micromatch 10 vias
Módulo TinyBrick IC1= M30291FC-U5 JP1= Ponte de ligação
IC2= SN75176 PCI (Ref.ª 080719-1).
Resistências: IC3= MAX202CSE Placa de Circuito impresso com microcon-
R2a R7= 10 kΩ trolador* e componentes SMD instalados,
R1= 100 kΩ Diversos: incluindo também os outros componentes
X1= Cristal quartzo de 18,432 MHz (Refª 080719-91).
Capacitores: X2= Cristal quartzo de 32,768 kHz
C10;C11= 15 pF K1;K2= Barra de terminais SIL de 20 vias, * programado com bootloader e interpreta-
C7;C8= 22 pF com pinos dobrados, passo 2,54 mm dor BASIC.
C1a C6;C9= 100 nF

para gerar um sinal de clock com uma fre- Esta porta é usada para carregar as apli- ou modem. Para esta última aplicação, o
quência de um segundo, o que pode ser cações em BASIC. As rotinas BASIC podem sinal DTR está também disponível, para
muito útil para aplicações em tempo-real. também usar esta porta para, por exem- além dos sinais TXD e RxD.
Ambos os cristais são instalados com os plo, enviar/receber mensagens ou imple- A segunda interface utiliza os pinos P6.4
respectivos capacitores de adaptação. A mentar a interface série com um display a P6.7, em conjunto com os sinais de con-
frequência de oscilação do cristal para o Figura 5
sinal do clock depende particularmente
do valor dos seus capacitores de adap-
tação (C10 e C11), e pode afastar-se em trole (/R
algumas ppm do seu valor nominal. Para match d
produzir um sinal de clock de maior pre- a progr
cisão, a contagem dos segundos pode ser ming). Q
corrigida periodicamente através do soft- em con
ware, através da sincronização deste com volvime
uma fonte de referência de clock via rádio descarr
externo. O interpretador TinyBasic suporta mas esc
esta função. em que
E8, foi d
Interface gramaç
O microcontrolador M16C29 possui três prefere
interfaces universais assíncronas (UART). sentado
A primeira destas três interfaces série uti- A tercei
liza os pinos P6.1 a P6.3, que se encontram meiro,
ligados a um MAX202 (IC3), e depois aos TTL dire
pinos 1 a 3 do conector K1, de modo a K1, que
garantir um nível de sinais na interface de equipam
comunicação série compatível com V24. Figura 4. Placa de avaliação do TinyBrick. recepto

60 03-2010 elektor elektor

080719_tinybrick_m_16c.indd 60 12/02/10 18:42


+5V
+5V
K2.B
1 +5V
P0.0 2 DOOR
P0.1 3
S2 +5V
P0.2 4 3x
P0.3 5 1N4148
D2
P0.4 6 DOOR
SWITCH
P0.5 7 COL2 D5 LS1
D3
P0.6 8 COL3
1N4148 8Ω
K3 P0.7 9 COL4
D4
VREF 10
1
+5V P7.4 11 T1
6 TINYBRICK R3
P7.6 12 SOUND
2 K1.A K2.A 4k7
P2.0 13
7 RXD RXD 1 1 +5V
40

P2.1 14 BC548
1

3 TXD TXD 2 TB16 2 P0.0


8 DTR DTR 3 3 P0.1
P2.2 15 1 2 3
P2.3 16
4 P1.5 4 4 P0.2
9 P1.6 5 5 P0.3
P2.4 17 ROW1 4 5 6
P2.5 18 ROW2
5 P1.7 6 6 P0.4
SSO 7 7 P0.5
P2.6 19 ROW3 7 8 9
P2.7 20 ROW4
SSI 8 8 P0.6
RS232 0
Serial SCL 9 9 P0.7 * #
SDA 10 10 VREF
+5V Keypad
RXD2 11 11 P7.4
TXD2 12 12 P7.6 K1.B
CLK2 13 13 P2.0 RXD 1
INT0 14 14 P2.1 TXD 2
26 35 34 33 32 31 30 37 36 25 24
INT1 15 15 P2.2 DTR 3
VDD R1

R/W
E
VIN
D0
D1
D2
D3
D4
D5

VOUT
TX+ 16 16 P2.3 P1.5 4 CSB 38 1
CSB A1 100 Ω
TX- 17 17 P2.4 P1.6 5 RES 40
RES LCD1 R2
RESET 18 18 P2.5 P1.7 6 RS 39 20
K5 RS A2 100 Ω
NMI 19 19 P2.6 SSO 7 SSO 28
D7 LCD- DISPLAY
+9V GND 20 20 P2.7 SSI 8 EA DOGM 16 x 3 2
C1
20

21

SCL 9 SCL 29 19
D6 C2
GND SDA 10
VSS PSB CAP1N CAP1B
RXD2 11
27 23 21 3
TXD2 12
IC1 CLK2 13
K4 2
INT0 14
D1 7805 +5V
1 INT1 15
TX+ 16
1N4004
TX- 17
3
cação, o C1 C2 C3
RESET 18
NMI 19
el, para 20 GND
100µ 16V 100µ 16V 100n K6
GND
nos P6.4
080719 - 12
de con-
Figura 5. Diagrama do circuito da placa de avaliação do TinyBrick.

trole (/RESET e CNVSS) no conector Micro- Os sinais estão também ligados ao exci- tuadas ligando o módulo a uma placa
match de 10 vias. Esta porta é usada para tador de linha diferencial SN75176 (IC2), para protótipos. Uma solução mais sim-
a programação ISP (In System Program- de modo a disponibilizar os sinais TX+ e ples consiste em encomendar a placa de
ming). Quando é usado um emulador E8, TX- para um barramento RS485 em K1. avaliação do TinyBrick disponível no Ser-
em conjunto com um sistema de desen- Isto permite que vários módulos Tiny- viço Elektor; o produto final fica com uma
volvimento HEW (da Renesas), é possível Brick possam ser ligados em conjunto a apresentação muito mais profissional.
descarregar, executar e depurar progra- um barramento para formar uma rede, A placa de circuito impresso precisa de
mas escritos em C. Mesmo para os casos uma característica muito útil para aplica- muito poucos componentes para funcio-
em que não é possível usar um emulador ções de automação domésticas. O Tiny- nar e não é necessário possuir conheci-
E8, foi desenvolvido um adaptador de pro- Basic inclui suporte para um protocolo de mentos especiais sobre soldagem. A placa
gramação adicional para os leitores que rede simples. de avaliação é apresentada na Figura 4,
preferem a linguagem C, que será apre- esta possui conectores para uma fonte de
sentado brevemente na Elektor. Placa de avaliação alimentação externa e comunicações série
A terceira interface tem duas funções: pri- O circuito mínimo necessário para por cabo.
meiro, envia e recebe sinais com níveis colocar um módulo TinyBrick funcio- A porta série utiliza um conector sub-D de 9
TTL diretamente nos pinos do conector nando é uma fonte de alimentação vias. Isto simplifica a tarefa de ligar periféri-
K1, que são normalmente utilizados por regulada de 5 V (adaptador de rede ou cos à placa de avaliação, como modems ou
equipamentos como receptores GPS ou fonte de bancada) e um cabo de inter- módulos de displays. Para carregar os pro-
receptores de rádio para sinais horários. face série. As ligações podem ser efe- gramas na placa é preciso um cabo null-

elektor elektor 03-2010 61

080719_tinybrick_m_16c.indd 61 12/02/10 18:42


HARDWARE & SOFTWARE

Lista de componentes Um edi


desenvo
Placa de avaliação sic (Figu
mentas
Capacitores:
compila
C1 = 100nF
C2,C4 = 100µF 16V Brick. O
e indica
Semicondutores: eventua
IC1 = LM2940-5.0 reduz ba
D1 = 1N4004

Diversos:
K1;K2= Suporte SIL de 20 vias, passo 2,54 O bási
mm Muitas v
K3= Conector sub-D de 9 vias, fêmea TinyBasi
K4= Conector para adaptador de o estado
alimentação Muitas v
K5= Bloco de terminais PCI de 2 vias, um único
passo 5 mm
K6= Pinos de soldagem
Suporte de 2x20 pinos para módulo Tiny- Port2.0
Brick, passo 2,54 mm inverte
Placa breadboard adequada (protoboard, que é e
84x54 mm) sempre
Dissipador de calor SA220 dar num
Figura 6. Placa de circuito impresso da placa de avaliação do TinyBrick.
PCI (Ref.ª 080719-2)
program

modem, mas se o leitor não tiver acesso [4], que consiste numa das línguas mais #define
a um computador com porta série vai ser simples para os principiantes entrarem para expansões futuras. Estão disponí- #define
necessário fazer um cabo ‘null-modem para neste “mundo”. Os programadores mais veis 32 kB para o programa BASIC utili- Isto per
USB’ (use um cabo USB-TTL mais um chip experientes vão certamente também con- zar. O programa é armazenado na forma motor q
para conversão dos níveis RS232). siderar que este sistema tem muito a ofe- de tokens, sendo que isto deve ser mais usando
A construção de um cabo deste tipo está recer, permitindo implementar pequenas do que suficiente. Os restantes 32 kB
descrita em detalhe num documento que tarefas num curto período de tempo. O estão livres para serem usados como se Motor =
se encontra disponível gratuitamente no microcontrolador M16C29 está equi- pretenda, por exemplo, para armaze- Quando
site Elektor. pado com uma memória Flash de 128 kB, nar dados úteis. Por último, existe uma todos o
12 kB de RAM e 4 kB de memória Flash memória Flash de 4 kB para dados onde configu
Software para dados, 64 kB reservados para o boo- são colocados os valores de inicialização dância).
Tal como já foi mencionado anterior- tloader e interpretador TinyBasic. A ver- e outros parâmetros. A memória Flash flito com
mente, os módulos TinyBrick são progra- são corrente do TinyBasic utiliza cerca para os dados pode ser apagada e repro- dware q
mados maioritariamente em TinyBasic de 40 kB, visto que existe muito espaço gramada até 10.000 vezes, enquanto que controla
a memória Flash normal pode ir até cerca configur
de 1.000 ciclos de apagamento/reprogra- das com
mação. O bootloader é iniciado imediata- podem
mente após o reset do sistema. Se não for comand
detectado nenhum carregamento de soft-
ware nos primeiros dois segundos, o setport
interpretador TinyBasic começa a exe- vai conf
cutar o programa BASIC mais recente entrada
que se encontra armazenado. O bootlo- pinos P2
ader pode também ser usado para car- tências d
regar o interpretador TinyBasic resi- Uma ca
dente, o que garante que todas as futu- é que su
ras versões do interpretador, com novas de texto
funcionalidades mais recentes, pos- Electron
sam ainda ser utilizadas pela placa Tiny- série de
Brick. Enquanto o TinyBrick está exe- displays
cutando um programa BASIC é possí- de uma
vel iniciar um reset no sistema através apenas
do envio de uma sequência de reset na trolador
primeira interface série. Depois desta gráfico
ser recebida, é gerado um reset por soft- publicad
ware e o bootloader começa a ser execu- É neces
tado outra vez. Isto permite carregar um indicar a
Figura 7. O TinyEditor, usado para gerar e descarregar o programa TinyBasic. novo programa a qualquer altura. display e

62 03-2010 elektor elektor

080719_tinybrick_m_16c.indd 62 12/02/10 18:42


ntes Um editor freeware é muito útil para o
desenvolvimento de programas em TinyBa-
sic (Figura 7) [4]. Este contém todas as ferra-
mentas necessárias para permitir escrever,
compilar e carregar programas para o Tiny-
Brick. O processo de compilação detecta
e indica o número da linha onde existam
eventuais erros de sintaxe. Além disso, ainda
reduz bastante a dimensão do código final.

O básico do TinyBasic
Muitas variáveis de sistema estão definidas no
TinyBasic. Usando as variáveis port0 a port9
o estado das portas pode ser lido e alterado.
Muitas vezes, é necessário acender apenas a
um único pino de uma porta. A linha:

Port2.0 = not Port2.0


inverte o estado do pino P2.0 cada vez
que é executada. As variáveis devem ter Figura 8. Um pequeno alarme de intrusão, construído com base na placa de
sempre nomes com significado para aju- avaliação.
dar numa compreensão mais legível do
programa: mina qual o comando de saída usado para O primeiro projeto
escrever no display, ou seja, deslocar ou Como aplicação exemplo vamos usar
#define Motor Port2.0 imprimir. Neste exemplo, estamos defi- a placa de avaliação com um TinyBrick
disponí- #define ON 1 nindo um display de três linhas com 16 para construir um alarme contra intrusão
IC utili- Isto permite-nos colocar em trabalho um caracteres por linha: (Figura 8). O alarme proposto é muito sim-
a forma motor que se encontra ligado ao pino P2.0 ples, mas deve ser suficiente para garantir
er mais usando o comando: setdisplay LCD_DOGM16x3 alguma segurança a um jardim ou alça-
s 32 kB Este display possui o seu próprio conjunto pão. O alarme é ativado assim que a porta
omo se Motor = ON de caracteres, que é apenas necessário é fechada e é disparado quando esta é
rmaze- Quando o microprocessador é reiniciado enviar o código de cada caractere para o aberta. Uma janela de tempo limitado per-
ste uma todos os seus pinos das portas de E/S são display. Quando está instalado um display mite a correta introdução de um código
os onde configuradas como entradas (alta impe- gráfico, é preciso enviar o padrão com os pessoal para desativar o alarme quando
alização dância). Isto garante que não haverá con- pixels para o caractere que será mostrado. é detectada uma intrusão. O alarme está
ia Flash flito com nenhum sinal externo do har- Um display gráfico permite também dese- equipado com um display e envia uma
e repro- dware que se encontra ligado ao micro- nhar linhas, círculos e outros símbolos. mensagem de texto SMS para um número
nto que controlador. Usando a instrução setport as Outro conceito básico no TinyBasic é a de telefone celular pre-definido.
té cerca configurações dos pinos podem ser defini- diferença entre os cinco canais de entrada A parte sombreada de cinza no diagrama
eprogra- das como saída. As resistências de pull-up e os cinco canais de saída. Os canais #0 e da Figura 5 mostra os componentes usa-
mediata- podem também ser ligadas através de um #1 são atribuídos as duas primeiras interfa- dos. Os caracteres são apresentados
não for comando de software. Por exemplo: ces série, enquanto que o canal #2 escreve num display DOG de três linhas da Elec-
de soft- no display e lê do teclado. Os canais #3 e tronic Assembly. É utilizado um teclado
ndos, o setport 2,%00000001,%11110000 #4 permitem referenciar dois arquivos em matriz de 3×4 teclas para introduzir
a a exe- vai configurar os pinos P2.4 a P2.7 como independentes num cartão SD. o código pessoal e um pequeno interrup-
recente entradas com resistências de pull-up, os O seguinte exemplo demonstra como os tor miniatura, instalado atrás da porta,
bootlo- pinos P2.1 a P2.3 como entradas sem resis- canais são usados para enviar uma cadeia para detectar a abertura da porta. O som
ara car- tências de pull-up e o pino P2.0 como saída. de caracteres “Hello World!” para a inter- do alarme pode ser produzido por uma
ic resi- Uma característica especial do TinyBrick face série e depois para o display: sirene de 12 V, mas esta pode ser bastante
as futu- é que suporta diferentes tipos de displays ensurdecedora num espaço tão pequeno,
m novas de texto e gráficos. O módulo DOG-M, da print #0, “Hello World!” ‘ e como é também enviada uma mensa-
s, pos- Electronic Assembly [5], apresenta uma gem de texto SMS em simultâneo, um
ca Tiny- série de vantagens em relação a outros envia o texto para a primeira interface pequeno alto-falante deve ser mais do que
tá exe- displays, como por exemplo a utilização série, enquanto que: suficiente.
é possí- de uma interface SPI significa que utiliza Para enviar as mensagens de texto SMS por
através apenas cinco pinos de E/S do microcon- print #2, “Hello World!” ‘ uma rede celular GSM, é necessário utilizar
eset na trolador. A ligação de um módulo display um modem GSM com uma interface série,
s desta gráfico já foi abordada em outros artigos envia o texto para o display. como o TC35i da Siemens. A Telit [6] tam-
por soft- publicados pela Elektor. Existem muitas funcionalidades diferen- bém disponibiliza uma solução mais inte-
r execu- É necessário apenas um comando para tes para texto suportadas pela linguagem, grada com o GM862-QUAD multiband
egar um indicar ao interpretador BASIC que tipo de como using(), chr() e spc(), úteis para a for- com modem GSM e capacidade GPRS.
. display está ligado. Esta informação deter- matação de texto. Estes modems GSM autônomos acabam

elektor elektor 03-2010 63

080719_tinybrick_m_16c.indd 63 12/02/10 18:42


HARDWARE & SOFTWARE

todos por ser relativamente dispendiosos.


A melhor solução é usar um celular antigo. Listagem 1. Fragmento do código fonte para o
Os modelos mais recentes não são geral- alarme de intrusão.
mente adequados pois não vêm equipa- …
dos com uma interface série. Alguns dos
modelos mais antigos também têm o pro- ‘ --- Main loop ---
blema de que a porta série deixa de ser dis- do
‘ The door was just locked...
ponível quando o celular está carregando. if (State = NONE) and (Door = LOCKED) then
O aparelho Nokia 6310i é o ideal para esta cls
aplicação, pois possui uma interface série print #2,chr(0) ‘ print special char
e uma entrada para o carregador em sepa- move 5,1
rado. O telefone suporta ainda o modo de print #2,”ARMED”
texto para o envio de mensagens SMS. É State = ARMED
pause 1000
também necessário usar o cabo de dados
endif
DLR-3P da Nokia para ligar o telefone. Este
é alimentado a partir do sinal DTR, sendo ‘ The door was just opened...
que é necessário que o programa comute if (State = ARMED) and (Door = OPENED) then
esta linha para 12 V. cls
O código fonte para este projeto pode ser print #2,”Enter Pin Code:”
move 6,1
obtido gratuitamente no site Elektor. Na
PinOk = 0
Listagem 1 mostramos um fragmento do PinNum = 0
código fonte para o alarme de intrusão. Timer = T30SEC
O programa foi feito propositadamente State = WAITING
de maneira a ser simples, por exemplo, endif
o número de telefone para o qual a men-
sagem de texto é enviada está definido …
no código fonte e pode ser alterado atra-
vés do editor de texto, mas não há razão ‘ Time elapsed...
nenhuma para que o programa não seja if (State = WAITING) and (Timer = ELAPSED) then
modificado de modo a suportar um ou cls
mais números inseridos através do teclado print #2,”Init modem “,
e armazenados na memória. initgsm 8034 ‘ init gsm modem with pin
code
Certamente que o leitor já pensou em
if Err = 0 then print #2,”OK”,
imensas outras aplicações em que seria útil
enviar ou receber mensagens de texto SMS print #2,”Sending SMS “,
no seu telefone. A unidade pode, por exem- sendsms “0174xxxxxxx”,”Burglary!” ‘ send sms with message text
plo, ser integrada num sistema controlador find “OK”,15000
de aquecimento para ligar a um técnico res-
if Err = 0 then
ponsável quando ocorre uma falha. Pode
print #2,”OK”,
ser escrita uma rotina em TinyBasic para print #2,”Alarm......”,
receber e descodificar mensagens de texto
SMS e usar as E/S do TinyBrick para contro- for nAlarm = 0 to 50 ‘ alarm siren
lar o processo adequadamente. for nFreq = 3000 to 2500 step -50
(080719-1) sound nFreq
Artigo original: M16C TinyBrick – March 2009
next
next

print #2,”READY”,
endif
Internet
[1] www.micromint.com State = NONE
endif
[2] www.parallax.com

[3] www.c-control.de loop
[4] www.tinybasic.de
[5] www.lcd-module.de
[6] www.telit.com

64 03-2010 elektor

080719_tinybrick_m_16c.indd 64 12/02/10 18:42


pin

elektor

080719_tinybrick_m_16c.indd 65 12/02/10 19:27


Kit PSoC com módulo RF
Luc Lemmens (Elektor) (com 7 posições), um sensor de proximidade CapSense, um ter-
místor, um sensor de luz, um LED de três cores e um alto-falante
Este kit, fabricado pela Cypress, foi concebido para que os seus miniatura. Esta placa também tem um conector com o interface
usuários possam se familiarizar facilmente com a flexibilidade e I2C e algumas linhas E/S não usadas. Existem diversas opções para
as funcionalidades dos dispositivos PSoC (Programmable System- experimentar os vários sensores e atuadores.
on-chip). Junto com o CD, além de E, finalmente, o kit de desen-
todo o software de desenvolvimento volvimento contém duas
e programação, existem um grande placas que se destinam ao
número de exemplos para o usuário abastecimento de energia da
descobrir rapidamente como funcio- bateria às duas últimas placas
nam estes microprocessadores. Eles mencionadas.
contêm blocos analógicos e digitais
programáveis que podem ser usados Tudo isto convida o leitor
para ler sensores (como por exem- a começar imediatamente
plo, LDRs, entre outros) e controlar a experimentar o kit, mas
atuadores (como LEDS e relés). Além na prática não é assim tão
disso, temos ainda as usuais interfaces simples. Em primeiro lugar,
série SPI e I2C. O ambiente de desen- a instalação do software,
volvimento integrado PSoC (IDE) dá controladores e exemplos é
a possibilidade de desenvolvimento bastante vaga. O número de
ao nível do código (dependente do vezes que precisamos pres-
chip), assim como aplicações gráficas sionar OK quando não existe
(não dependentes do chip). A tecno- outra alternativa é absolu-
logia do CyFi de 2,4 GHz da Cypress tamente irritante, janelas
oferece a possibilidade de adicionar que ocultam outras janelas,
facilmente conexões wireless, ener- para ser breve: isto podia ser
geticamente eficientes, a projetos melhorado. Mas, o “sofri-
integrados. mento” não acaba aqui. Se
você pensa que depois da
O kit contém tudo. instalação do software pode
Para começar, o kit contém um pro- começar imediatamente a
gramador compatível com todos os testar os exemplos forne-
tipos de processadores existentes no cidos está redondamente
kit. Este funciona também como uma enganado. O manual por
ponte entre outras placas do kit e um vezes salta alguns passos
PC, através de uma interface USB-I2C. essenciais, ou indica erra-
O kit possui ainda um transceiver CyFi damente pastas onde alguns
de baixa potência que, quando com- arquivos deviam ser encon-
binado com o PSoC, pode funcionar trados. Quando executamos
como um hub numa rede wireless. o software de programação
A segunda placa, com o nome de RF pela primeira vez, a janela de
Expansion Board, contém um PSoC e um transceiver CyFi e pode progresso apresenta apenas uma linha, por isso algumas mensagens
ser usada como um nó numa rede wireless CyFi. Pode ser usado importantes e essenciais ficam fora do campo de visão (como por
para medir temperaturas utilizando o termístor montado na placa. exemplo, qual o tipo de PSoC se deve selecionar).
Recorrendo a um conector, a placa pode ser ligada a outros siste- Mas, assim que você tiver superado todos estes problemas vai rapi-
mas de modo a permitir que estes possam ser ligados entre si sem damente se entusiasmar com este kit. Os processadores são com-
fios. Um segundo conector disponibiliza as linhas do interface I2C e ponentes fantásticos, e em combinação com a tecnologia CyFi ofe-
E-LABS

mais algumas linhas E/S não utilizadas do processador, que podem recem inúmeras possibilidades para testar e desenvolver as suas
ser usadas para conectar os seus protótipos. próprias aplicações!

Também existe uma carta de expansão multi-funções (MultiFunc- Artigo original: Review: PSoC kit with RF module – October 2009
tion Expansion Card) – que, como o nome sugere, executa múltiplas
funções. Ela contém um controlador de deslizamento CapSense www.cypress.com/cyfi

66 01-2010
11-2009 elektor

ELabs.indd 66 12/02/10 20:15


3 Capa.indd 3 12/02/10 18:32
No
vo
NI
EL
VI
S
II+

Uma abordagem integrada


para projetos de circuitos
Crie um protótipo virtual em software
antes de levá-lo ao laboratório

Compare dados simulados com


medições de dados reais

Obtenha feedback
instantâneo através de
instrumentos virtuais
integrados

Use funcionalidades específicas


para educadores para ampliar a
compreensão dos estudantes

Escolha a opção com Utilize 12 instrumentos Simplifique a montagem e


osciloscópio de 100 MS/s integrados em uma manutenção de seu laboratório
única plataforma através da conectividade USB
Plug-and-Play

Plataforma Educacional para A plataforma educacional para ensino de eletrônica da National Instruments oferece um
Ensino de Eletrônica
conjunto de ferramentas para auxiliar os estudantes a conectarem a teoria de circuitos com
NI Multisim aplicações reais. Com o NI Multisim, estudantes podem explorar conceitos teóricos e
Software interativo para projeto e
simulação de circuitos eletrônicos entender o comportamento de circuitos utilizando simulação SPICE e recursos interativos.
NI ELVIS Eles podem construir protótipos físicos no protoboard da plataforma NI ELVIS que possui
Estação educacional para projeto e
instrumentação integrada e instrumentos virtuais do NI LabVIEW. Por fim, eles podem
protótipo com 12 instrumentos integrados
comparar dados simulados com medições reais com um simples clique utilizando
NI LabVIEW
Software de desenvolvimento gráfico instrumentos do NI ELVIS dentro do ambiente Multisim.

>> Faça o download dos recursos para ensino em ni.com/academic/eep (11) 3149 3149

©2009 National Instruments. Todos os direitos reservados. LabVIEW, Multisim, National Instruments, NI, e ni.com são marcas registradas
da National Instruments. Os outros nomes de produtos e das empresas mencionadas são marcas registradas e nomes comerciais das
respectivas empresas. 46

2009-0046.indd 1 8/14/09 9:32:50 AM

4 Capa.indd 3 12/02/10 18:32

Você também pode gostar