Você está na página 1de 18

Guiã o MultiSim 2001

Descrição
Este documento é um guia rápido de utilização do MultiSim 2001, usado nas disciplinas de
Engenharia que envolvem a simulação de circuitos analógicos e digitais.

A utilização do MultiSim 2001 permite:

• De uma forma simples, entender o funcionamento dos Circuitos a estudar


• Gerar documentação técnica em ambiente educacional

Lançamento e comandos básicos

No computador do Laboratório deverá estar instalado o MultiSim 2001. O lançamento poderá


ser efectuado das seguintes maneiras alternativas:

• Através da tecla do Windows


• Com “Start”-> “Search” - Multisim
• Com “Start”-> “All Programs”
 Clicar com o botão esquerdo do rato

Após o lançamento deverá aparecer uma janela da aplicação, após algumas mensagens,
semelhante à da figura seguinte. Para sair do programa, fazer “File”  “Exit” na Barra de
Comandos.

Página: 1
1. Começar um circuito novo; Abrir um ircuito pré-existente; Gravar o circuito activo
2. Zona de biblioteca de modelos de componentes digitais e analógicos
3. Ligar/Desligar a simulação
4. Aumentar/Encolher a zona de trabalho
5. Zona de ferramentas de análise dos circuitos
6. Barra de Comandos

Parametrização antes de começar

Antes de começar a colocar componentes e a ligá-los convém tornar o ambiente de


trabalho mais agradável e compreensivel ao utilizador:
1. Colocar a grelha, a cercadura e a caixa de informação na zona de trabalho
Com o cursor em cima da Zona de Trabalho, clicar no botão do lado direito
do rato; assegurar que as “check boxes” da figura seguinte estão activas.

2. Definir as cores da Zona de Trabalho:

Página: 2
Na figura anterior clicar em “Color” e escolher um esquema de cores
eficiente(ver figura seguinte). O esquema “White & Black” é o mais eficiente
em termos de poupança de tinta da impressora e é suficientemente legível.

3. Definir a informação que aparece nos componentes na Zona de Trabalho:


Na Barra de Comandos fazer “Options”, escolher “Preferences” e colocar no
Tabulador “Circuits” as “check boxes” activas da figura seguinte.

4. Definir o tamanho da Zona de Trabalho:


5. Escolher agora o Tabulador “Workspace” da figura anterior e escolher um
tamanho adequado à complexidade do circuito segundo a figura seguinte. O
tamanho pode ser alterado em qualquer altura.

Nota: Esta parametrização ficará guardada no computador, sendo efectuada


apenas uma vez.

Página: 3
Simulação do Primeiro Circuito

A simulação só pode ser efectuada se existir um circuito válido devidamente


alimentado (tem de existir uma fonte de tensão e uma referência – GND) na Zona de
Trabalho.

Os passos aseguintes descrevem, de um modo geral, os passos para se simular com


sucesso um circuito digital. Para os circuitos analógicos o procedimento é muito
semelhante.

1. Colocação na zona de trabalho a(s) fonte(s) de tensão:


Na barra da Zona de biblioteca de componentes escolher “Sources” (1) e de
seguida “DIGITAL_GND” (2), tal como se indica na figura seguinte.

2. Colocação de interruptor inversor:


Na barra da Zona de biblioteca de componentes escolher sucessivamente
“Basic”(1), “Switches” (2) e finalmente “SPDT”, aparecendo um interruptor
com três polos. Com o cursor em cima do interruptor clicar no botão da direita
e selecionar “Flip Horizontal” - ver figuras seguintes.

3. Colocação de uma Ponta de Prova:


Na barra da Zona de biblioteca de componentes escolher sucessivamente
“Indicators” (1) e “PROBE”. Colocar o componente na Zona de Trabalho (3)
(ver figura seguinte).

Página: 4
4. Arrumar os componentes e ligá-los:
Carregando no botão esquerdo do rato permanentemente com o cursor em
cima de um componente permite mudá-lo de local na Zona de Trabalho.
Colocando o cursor num polo de um componente, o cursor muda de aspecto
indicando que é um ponto de ligação (1). Clicando no botão esquerdo do rato
nessa situação inicia-se uma ligação a partir desse polo (2).

Clicando agora num polo de outro componente estabelece-se uma ligação


entre os dois componentes. A figura seguinte mostra o circuito final pronto a
ser simulado.

5. Dar inicio à Simulação (“Ligar a Torradeira”):

A figura seguinte mostra a simulação a decorrer.

Clicar no Interruptor assinalado (1) para que mude para a posicão de ligado.
 Carregar sucesivamente na tecla “SPACE” e observar o comportamento dos
componentes “SPDT” e “PROBE” (3) e (4).

Nota: Verificar na zona assinalada (2) o tempo a decorrer.

Página: 5
Explicação da Simulação do Primeiro Circuito

O circuito da figura anterior é apenas um interruptor que liga/desliga uma


lâmpada. Para a lâmpada acender, é necessário que se estabeleça uma ligação
a uma fonte de energia – a fonte de alimentação VCC (5 Volt).
A lâmpada é simulada pelo modelo da “PROBE”, cuja especificação é acender
quando a tensão ao seu polo é superior ou igual a 2,5 Volt. Quando a ligação
do “SPDT” está virada para cima, temos a lâmpada alimentada com 5 Volt, >
que 2,5 Volt, logo acende. Quando a ligação do “SPDT” está virada para baixo,
a lâmpada está ligada a GND (0 Volt), logo fica apagada.
O “SPDT” simula o estado lógico binário “0” (zero) quando está ligado a GND
(Massa, Terra, Referência...). O estado binário “1” é representado pela ligação
eléctrica ao polo positivo (+) da fonte de alimentação VCC (5 Volt).
Este é o principio base do funcionamento dos computadores digitais, que
funcionam só com “0” e “1”, ausência de tensão (0 Volt) ou existência de VCC
(5 Volt) respectivamente. Os interruptores aqui representados pelo modelo
“SPDT” são na realidade transistores, aliás milhões e milhões deles, que
ligando e desligando a grande velocidade representam a informação
manipulada e armazenada nos computadores de hoje. Trata-se apenas de
codificação binária de informação que é manipulada electrónicamente.

Página: 6
Nota: A simulação pode ser parada momentãneamente clicando “Pause” em
(1).

• A referência à “Torradeira” deve-se a um aluno em tempos ter afirmado


que o botão se assemelhava ao de uma torradeira a sério. Como o
MultiSim tem um problema de gestão de memória – matéria a aprender
em disciplina evoluída de engenharia informática, em que se a simulação
estiver ligada alguns minutos, o programa absorve os recursos do sistema
operativo (a memória) ficando o mesmo muito lento, ou seja, temos uma
torradeira sem sensor de temperatura, pelo que as torradas ficam
queimadas ao fim de algum tempo. Conclusão: antes que o programa e o
computador fiquem parados, convém desligar a “Torradeira” o mais
rapidamente possível sempre que os resultados da simulação tenham sido
obtidos satisfatoriamente.

Simulação da porta lógica NOT (NOT)

Partindo do circuito anterior, introduzir agora a porta lógica NOT –


secção A do circuito integrado 74LS04.

 Na barra da Zona de biblioteca de componentes escolher sucessivamente


“TTL” (1), “74LS” (2) e “74LS04D” (3). Escolher a secção A e colocar a porta
lógica na Zona de Trabalho (ver figura seguinte).

Redesenhar o circuito tal como se pode ver na seguinte figura.

Página: 7
Nota: Clicando em cima de um objecto, inclusive uma ligação, seleccionamos
esse objecto, pelo que com a tecla “DELETE” podemos apagá-lo. Para a
“PROBE”, visto que é um componente, é preferível ir buscá-lo à biblioteca em
vez de fazer “copy/paste”.
Simulando o circuito obtém-se um efeito de “luzes de Natal”.

Explicação do funcionamento da porta lógica NOT (CI 74LS7404D)

Tal como foi explicado atrás, os valores lógicos “1” e “0” são na realidade sinais
eléctricos com ou sem tensão respectivamente.
Esses sinais podem variar no tempo (o interruptor a mudar faz acender a lâmpada ou
apagá-la ao longo do tempo). Uma descrição de tal comportamento pode ser
representada por um Diagrama Temporal:

1 ON ON
OFF OFF
0 t

Nota: “1” pode ser interpretado como “Ligado”; “ON”; ”Aceso”; ”H”; “High”
“0” pode ser interpretado como “Desligado”; “OFF”; ”Apagado”; ”L”; “Low”

Os sinais eléctricos podem ser modificados por circuitos electrónicos, que é o caso das
portas lógicas. A porta Lógica NOT tem a capacidade de transformar um
“0” num “1” e vice-versa.
O diagrama temporal para o circuito do NOT em relação aos pontos A e B é o seguinte:

Nota: A partir deste momento, promove-se o


carregamento de Circuitos Multisim pré-gravados.

Página: 8
Explicação do funcionamento das porta lógicas convencionais AND (CI 74LS08D);
OR (CI 74LS32D); NOT (CI 74LS04D); NAND (CI 74LS00D); NOR (CI 74LS02D);
XOR (CI 74LS86D)

Carregar o Circuito “Portas_Logicas”

1. A funcionamento da porta lógica AND é descrito pelo


seguinte Diagrama Temporal :

AND

2. A funcionamento da porta lógica OR é descrito pelo


seguinte Diagrama Temporal :

OR

3. A funcionamento da porta lógica NAND é descrito pelo


seguinte Diagrama Temporal :

NAND

Página: 9
4. A funcionamento da porta lógica NOR é descrito pelo
seguinte Diagrama Temporal :

NOR

5. A funcionamento da porta lógica XOR é descrito pelo


seguinte Diagrama Temporal :

XOR

Notas sobre a série de Circuitos Integrados 74LSXX:

1. LSI “Low Scale Integration”– Lógica convencional, portas lógicas:


• Formato uniformizado: 14 pinos, com marca no pino 1, pinos crescentes
no sentido contrário aos dos ponteiros do relógio (“anticlockwise”)
• Alimentação VCC (5V) no pino 14; Referência (GND) no pino 7
2. MSI “Medium Scale Integration”– Mais Funcionalidade:
• Formato uniformizado: 16 pinos, com marca no pino 1, pinos crescentes
no sentido contrário aos dos ponteiros do relógio (“anticlockwise”)
• Alimentação VCC (5V) no pino 16; Referência (GND) no pino 8

Página: 10
Circuitos MSI – “Medium Scale Integration” - Funcionalidade

1. Multiplexers (74LS151 – 8x1; 74LS153 – 2x4x1; 74LS157 –


4x2x1)

 Carregar o circuito “Mux2_1.msm” da pasta “MSI”

Os multiplexers (MUXes) permitem escolher uma de n entradas (em número


potência de 2 – 2, 4 e 8) através de entradas de selecção binárias.
Para um Mux 2:1, temos uma entrada de selecção (S) e duas entradas A e B. Se
S=0 a sída é a entrada A, se S=1 a saída mostra a entrada B.
Para um Mux 4:1 já temos a possibilidade de escolher uma de 4 entradas a partir
de duas linhas de selecção (S1,S0). Note-se que 2^2=4. O mesmo para o Mux de 8:1
em que são necessárias 3 linhas de selecção (S2,S1,S0 – 2^3 = 8).

Os Multiplexers são muito utilizados na implementação de circuitos


combinatórios a partir de uma tabela de verdade descritiva de um problema lógico.

 Carregar o circuito “N_P.msm” da pasta “MSI”

Página: 11
Nota: É possível fazer uma expansão de multiplexers para 16:1, 32:1, etc. Uma
combinação de Muxes: p.ex, dois Muxes 8:1 e um mux 2:1 expandem para um
Mux de 16:1

2. Somadores, descodificadores e comparadores integrados

 Carregar os circuitos “Sum4.msm” e “Cmp_Lab5” da pasta


“MSI”
Com o circuito Sum4 é possível verificar o funcionamento de um somador
binário de 4 bits. O componente DCD_HEX é um modelo de um dispositivo
“Display de 7 Segmentos” controlado pelo descodificador 74LS47. Este circuito
integrado transforma o número binário representado por 4 bits (de pesos 8421)
em 7 sinais digitais que ligam aos segmentos dos displays (leds)de modo a mostrar
os dígitos hexadecimais.
Por outro lado, o circuito “Cmp_Lab5” mostra o menor número apresentado.
Para realizar a funcionalidade, primeiro faz-se a comparação dos dois números
binários com um comparador integrado 74LS85. Depois o resultado é usado para
seleccionar num Mux 4x2:1 o número.

Circuitos com memória

Página: 12
Contrariamente aos circuitos combinatórios tratados anteriormente – circuitos que
podem ser descritos com uma tabela de verdade, i.é, para a mesma combinação das
entradas, temos sempre as mesmas saídas, os circuitos com memória apresentam saídas
diferentes para as mesmas entradas. Nessa situação, o circuito é descrito por uma tabela
de funcionamento.

Os circuitos com memória podem ser facilmente identificados porque existe uma
realimentação das saídas para as entradas. Exemplo simples são o Caça “0”, o “LATCH
_S,_R e o Flip-Flop.

Carregar o circuito “Caca0_2_Rearme.msm” da pasta


“Fundamentos_FF”

_RES

SET Realim/ Realim/

X1
1 2 M 3 M 2 M3 M

1 – Indefinição – estado de memória depende do valor na realimentação.


2 – SET (coloca X1 = “1” – Rearme)
3 – _RES (coloca X1 = “0” – Caçou o “0”)
M – Estado de Memória – indica qual foi o último a ser accionado (X1=”0”, foi o _RES)

Notas:
• “_RES” indica activo a “0” – A acção é um impulso a “0”
• De notar o efeito memória:
o Realimentação (a saída liga a uma entrada)
o SET (Rearme) – o circuito fica pronto a “caçar” o “0” em _RES

Página: 13
o X1 indica se _RES foi a “0” algures no passado (aós Rearme).
o Se X1 = “0” (após Rearme), _RES foi a “0” no passado, mesmo que esteja
a “1” no momento da análise. Se X1 = “1” após Rearme, então _RES
nunca foi a “0” no passado (esteve sempre a “1”).

Uma versão melhorada do circuito anterior será a inclusão de uma porta lógica OR
em vez do interruptor SET. O problema é que o circuito não é simétrico e obriga a dois
circuitos integrados diferentes. O problema foi resolvido com o “LATCH _S,_R”

Aplicações: O princípio de funcionamento dos sistemas “anti-intrusão/alarmes” – A


linha “_RES” pode ser ligada a um interruptor numa porta para se saber se foi ou não
aberta no passado.

Página: 14
Flip-Flops comerciais

Os FF comerciais são os 74LS112 (JK edge trigger negativo) e 74LS74 (D edge trigger
positivo). O FF 112 é derivado directamente do FF SR MS (ver pasta“Fundamentos_FF2).
O /4LS74 é um 112 com dois NOTs – um no CLK, e outro no K em que J=D, K = _J.

CLK
CLK

~PR – _Preset – coloca Q=1 com um impulso a “0” (assíncrono)


~CLR–_Clear – coloca Q=0 com um implulso a “0” (assíncrono)
CLK – O FF muda a saída apenas no flanco (edge) negativo – ‘112, positivo ‘74
J,K,D – entradas
Q,~Q – saídas (~Q é a saída Q negada)

Notas:
• Um impulso a “0” em ~CLR e ~PR coloca imediatamente a saída Q=0 ou 1
respectivamente.
• ~PR e ~CLR não podem ser activados ao mesmo tempo (fica Q=~Q, o que é
uma incongroência).
• Com ~CLR e ~PR inactivos (a “1”) o FF funciona pela TF (Tabela de
Funcionamento) – só muda as suas saídas com o flanco de CLK.

Carregar “FF_com.msm” da pasta “Fundamentos_FF”

Página: 15
Registos e contadores

1. Os registos são conjuntos de Flip Flops do tipo D associados em número de 4,


6, 8 mais num único circuito integrado. As saídas dos FF têm um “buffer
tristate” de modo a poder desligá-los digitalmente do circuito. O termo
“tristate” que dizer três estados: “0” (GND), “1” (VCC=5V) e “Z” – desligado (é
como se a saída do FF desaparecesse do circuito). Com o “buffer” (regenerador
de sinal) com estas características é possivel partilhar uma linha digital por
vários dispositivos, desde que apenas um deles esteja activo. A linha de
Ligar/Desligar geralmente designa-se por “_OE” – Output Control.
Um registo típico será o 74LS374 com 8 FF tipo D com uma linha de CLK comum e o
sinal “_OE” controla a saída do mesmo (Activo/Inactivo).
 Carregar “Seq_2reg_manual” da pasta “Registos”
Este circuito permite verificar o funcionamento de 2 registos num barramento (Bus)
de 8 bits. Várias operações poderão ser efectuadas tais como carregar o registo A a
partir dos interruptores e do Buffer discreto 74LS244 – que isola os interruptores do
Bus interno.

2. Os contadores são circuitos realizados com uma série de FF em modo “Toggle”


(Qseg = ~Qact) - J,K = 1 ou D=~Q, respectivamente no JK e D.

Nota: Qseguinte – após flanco do CLK; Qactual – antes do flanco do CLK

 Carregar em sequência os circuitos da pasta “Contadores”

Notas:
 O efeito de “flicker” no DCD_HEX do circuito assíncrono quando um
maior número de bits varia
 O efeito de “flicker” ficar resolvido com o circuito contador síncrono
(o CLK dos FF é comum – ou seja, reagem todos ao mesmo tempo).
 A função ~PR e ~CLR para inicializar a contagem

Página: 16
 A contagem crescente e decrescente
 No contador pseudo aleatório, basta trocar uma inicialização ou
ligação para a contagem ficar totalmente diferente.
 A funcionalidade acrescida nos contadores integrados 74LS160/1
 O funcionamento síncro do ~CLR do 161 e assíncrono do 160.
 O funcionamento síncrono do ~LOAD

Memórias e o modelo dos diodos

Uma memória ROM (Read Only Memory) permite ler uma palavra de n bits (coluna) por
cada posição ou endereço (linha).

O modelo de diodos permite explicar de forma simples o funcionamento da ROM e como


armazena de modo permanente uma série de palavras binárias.

A figura seguinte mostra a constituição da ROM:

1. Um descodificador binDec 74LS138 de três bits que apresenta 8 saídas


activas a “0” (as linhas que definem a palavra)
2. n colunas que definem o tamanho de cada palavra
3. Ligando um diodo entre cada linha e coluna coloca-se permanentemente um
“0 nessa posição.

Página: 17
Colocando um contador integrado em “free-running” a varrer (endereçar) as 4
posições de modo crescente (de 0 a 30...) podemos obter no DCD_HEX a seguinte
contagem: “6”,”5”,”3”,”2”,”6”...

Os n bits de cada linha podem ser divididos em “Campos” , cada um com a sua
funcionalidade. Um campo pode ligar á entrada de um Registo e definir a linha
seguinte a ser endereçada, e outro campo poderá ligar a um DCD_HEX definindo uma
saída binária programável.

 Carregar “Seq_final.msm” da pasta “Memorias”

O circuito apresentado permite realizar um sequenciador simples de 8 posições de


memória:
 Programar a partir do endereço “0” até ao “5” sucessivamente “1”,
“2”,”3”,”4”,”5” e “0” nos três bits do campo “ES2 ES1 ES0”
 Simular e verificar que o circuito varre as 6 posições de memória
sequêncialmente.
 Programar agora o campo de 4 bits da saída com valores HEX.
 Simular e verificar o funcionamento

Nota: Inicializar a saída do Registo a “0” com um impulso a “1” de R.

Página: 18

Você também pode gostar