Você está na página 1de 167

Arquitectura de Sistemas Computacionais

Introduo Lgica Digital


1
Arquitectura de Sistemas Computacionais
Introduo Lgica Digital
ELECTRNICA DIGITAL
Relativamente Electrnica Analgica:

Permitiu melhorar sistemas e produtos j e!istentes e desen"ol"er outros at# a$


imposs$"eis ou in"i"eis de construir%

Apresentam uma maior imunidade ao ru$do el#ctrico& ele"ada densidade de


inte'ra()o& *acilidade de acoplamento com outros circuitos& simplicidade de
projecto e de anlise& %%%
+%%%# o conjunto de determinadas t#cnicas e dispositi"os inte'rados& de "rios
'raus de comple!idade& que se utili,am principalmente na reali,a()o de circuitos
de controlo de processos industriais& de equipamentos in*ormticos para
processamento de dados e& em 'eral& de outros equipamentos e produtos
electr-nicos%.
Arquitectura de Sistemas Computacionais
SINAIS ANALGIC/S0
Toda a 'rande,a Analgica # aquela que assume uma in*inidade de "alores ao
lon'o do tempo de uma *orma cont$nua e sem saltos 1ruscos 2p%e% "aria()o da
temperatura ao lon'o de um dia3%
Introduo Lgica Digital
0
10
20
30
40
1 2 3 4 5 6 7 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24
Horas
Temp
Arquitectura de Sistemas Computacionais
SINAIS DIGITAIS0
Toda a 'rande,a Digital # aquela que assume um n4mero *inito de "alores e que
"aria de "alor por saltos de uma *orma descont$nua 2p%e% "aria()o hora a hora da
temperatura ao lon'o de um dia3% Portanto a sua e"olu()o no tempo consiste
precisamente em saltar duns "alores discretos para outros%
0
10
20
30
40
1 2 3 4 5 6 7 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24
Horas
Temp
Introduo Lgica Digital
Arquitectura de Sistemas Computacionais
CIRC5IT/S ELECTRNIC/S DIGITAIS 6IN7RI/S0
De*ini()o0 S)o circuitos que *uncionam 1aseados em apenas dois "alores de
amplitude%
Introduo Lgica Digital
Em lgica positiva& *a,8se corresponder ao n$"el mais ele"ado de tens)o o "alor
lgico 1% Ao "alor mais 1ai!o de tens)o 2que pode ser 9 volts ou outra tens)o
qualquer3 o "alor lgico 0%
RA:;ES PARA A S5A LARGA 5TILI:A<=/0
Simplicidade e 'rande toler>ncia dos componentes dos CIs?
Interli'a()o *cil e "erstil com outros componentes?
Imunidade ao ru$do%
N$"el
Alto 1
N$"el
6ai!o 0
Arquitectura de Sistemas Computacionais
Introduo Lgica Digital
APLICA<;ES 2ELECTRNICA DIGITAL30

@quinas de calcular?

Instrumentos de medida?

Rel-'ios di'itais?

Contadores?

Computadores di'itais?

Etc%%%
APLICA<;ES 2ELECTRNICA ANALGICA30

Ampli*icadores de udio

Receptores de rdio

Etc%%%
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
1
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
INTR/D5<=/
A utili,a()o de A9 al'arismos di*erentes B 9 at# C B para representa()o usual de n4meros?
Drios pa$ses ti"eram sistemas n)o decimais& nomeadamente para medidas de peso ou
comprimento% A p# E AF pole'adas% Sistema de base 12 29 at# AA3?
5sando a semana como unidade de conta'em dos dias estamos a usar um sistema de base
sete 29 at# G3?
Supondo que n)o e!istiam no sistema de base 10 os al'arismos H e o C E sistema com H
al'arismos di*erentes E sistema de base oito ou sistema octal%
Iuando temos que escre"er di*erentes n4meros em di*erentes 1ases a se'uir ao n4mero
representamos. entre parentesis a sua 1ase de modo a e"itar am1i'uidades e imprecisJes%
Por e!emplo0
H
2A93
K A9
2H3
Esta igualdade sem os respectivos ndices no teria qualquer significado!
Nos circuitos di'itais para a representa()o de n4meros e e!ecu()o de opera(Jes
aritm#ticas com circuitos di'itais& temos que usar um sistema de numera()o que tenha
simplesmente dois al'arismos 8 9 e A 8 sistema binrio ou sistema de base 2%
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
LR@5LA GENMRICA PARA DELINI<=/ DE 5@ NN@ER/ DECI@AL0
N
n
N
n8A
N
n8F
%%% N
A
K N
n
%1
n8A
O N
n8A
%1
n8F
O%%%O N
A
%1
9
/nde&
N representa um al'arismo qualquer pertencente ao "alor?
n # o n4mero de al'arismos pertencentes ao "alor?
1 # a 1ase de numera()o pela qual se representa o "alor%
Arquitectura de Sistemas Computacionais
DESCRI<=/ D/S SISTE@AS DE N5@ERA<=/
DE!"A# $%ase 10&
5tili,a A9 d$'itos P9&A&F&%%%&CQ
'!()R!* $%ase +&
5tili,a F d$'itos P9&AQ
*,A# $%ase -&
5tili,a H d$'itos P9&A&F&%%%&RQ
.E/ADE!"A# $%ase 10&
5tili,a AG d$'itos P9&A&%%%&C&A&6&%%%&LQ
Sistemas de Numerao
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
SISTE@A DECI@AL
6aseia8se no *acto de anatomicamente dispormos de S dedos em cada m)o&
torna8se necessrio que a conta'em en"ol"a A9 d$'itos sistema de base 10
Sistema de Base 10 P9&A&F&T&U&S&G&R&H&CQ
PES/
A posi()o de cada um destes d$'itos di,8nos a 'rande,a que representa e pode
ser desi'nada por peso%
EVE@PL/ 2n4mero inteiro30
T U G R
5nidades 8 R ! AK R
De,enas 8 G ! A9K G9
Centenas 8 U ! A99K U99
@ilhares 8 T ! A999K T999
TUGR
EVE@PL/ 2n4mero inteiro30
A S R F
$1&
K A!A9
T
OS!A9
F
OR!A9
A
OF!A9
9
E se *or fraccion2rioW As potXncias s)o de 1ase ne'ati"a& partindo do "alor A%
Arquitectura de Sistemas Computacionais
SISTE@A 6IN7RI/
M o mais utili,ado nos Circuitos Digitais 2Sistemas Digitais3 porque se 1aseia
nos dois estados poss$"eis dos elementos neles usados& i% #%& h tens)o ou n)o%
Sistema de Base 2 P9&AQ
Cada um dos al'arismos desi'na8se por d$'ito 1inrio ou bit 2Binary Digit3%
PES/
Cada d$'ito comparticipa na *orma()o do n4mero com um peso& determinado
pela posi()o que ocupa no n4mero 2%%%TF 2F
S
3& AG 2F
U
3& H 2F
T
3& U 2F
F
3& F 2F
A
3& A 2F
9
33%
L/R@A<=/ D/S NN@ER/S N/ SISTE@A 6IN7RI/
0 1 10 11 100 101 110 111
E!emplo0
Dalor inteiro e *raccionrio0
o AA9A
$+&
K A!+
T
OA!+
F
O9!+
A
OA!+
9
K AT Y em decimal ?3
o E se *or *raccionrioW Yprocede8se da mesma *ormaZ Aten()o [ %aseZZ
Sistemas de Numerao
Arquitectura de Sistemas Computacionais
SISTE@A /CTAL
/ sistema de numera()o Octal # composto por oito d$'itos%
Sistema de Base 8 P9&A&F&T&U&S&G&RQ
PES/
Cada d$'ito comparticipa na *orma()o do n4mero com um peso& determinado
pela posi()o que ocupa no n4mero 2%%%TFRGH 2H
S
3& U9CG 2H
U
3& SAF 2H
T
3& GU 2H
F
3& H
2H
A
3& A 2H
9
33%
E!emplo0
Dalor inteiro e *raccionrio0
o TUR
$-&
K T!-
F
OU!-
A
OR!-
9
K FTA
o E se *or *raccionrioW Yprocede8se da mesma *ormaZ Aten()o [ %aseZZ
Nota0 Todos os n4meros representados num sistema de numera()o para
al#m do decimal& !(#3E" E(,RE 4ARE(,E5!5 A RE54E,!6A
'A5E !!!
Sistemas de Numerao
Arquitectura de Sistemas Computacionais
SISTE@A \EVADECI@AL
/ sistema Headecimal # composto por AG s$m1olos%
Sistema de Base 1! P9&A&F&T&U&S&G&R&H&C&A&6&C&D&E&LQ
PES/
Cada d$'ito comparticipa na *orma()o do n4mero com um peso& determinado
pela posi()o que ocupa no n4mero 2%%%GSSTG 2AG
U
3& U9CG 2AG
T
3& FSG 2AG
F
3& AG 2AG
A
3&
A 2AG
9
33%
E!emplo0
Dalor inteiro e *raccionrio0
o ULA
$10&
K U!10
F
OAS!10
A
OA9!10
9
K AFRU
o Y e se *or *raccionrioW
E ULA&A6
2AG3
K U!AG
F
OAS!AG
A
OA9!AG
9
OA9!AG
71
OAA!AG
7+
K AFRU&9GGU
Nota" Todos os n4meros representados num sistema de numera()o para
al#m do decimal& !(#3E" E(,RE 4ARE(,E5!5 A RE54E,!6A 'A5E !!!
Sistemas de Numerao
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
Decimal Binrio Octal Hexadecimal
9 99999 9 9
A 9999A A A
F 999A9 F F
T 999AA T T
U 99A99 U U
S 99A9A S S
G 99AA9 G G
R 99AAA R R
H 9A999 A9 H
C 9A99A AA C
A9 9A9A9 AF A
AA 9A9AA AT 6
AF 9AA99 AU C
AT 9AA9A AS D
AU 9AAA9 AG E
AS 9AAAA AR L
AG A9999 F9 A9
TA6ELA
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
C/NDERS=/ DE DE!"A# 4ARA 'A5E #
N$meros Inteiros"
Base 2 Di"isJes sucessi"as por F? Ex 2!"2 # 101001110000
$2%
Base 8 Di"isJes sucessi"as por H? Ex &1' # ("&
$)%
Base 1! Di"isJes sucessi"as por AG? Ex !"' # 2*&
$1!%
N$meros %raccion&rios"
Base 2 @ultiplica(Jes sucessi"as por F? Ex 0+12' # 0+001
$2%
Base 8 @ultiplica(Jes sucessi"as por H? Ex 0+12' # 0+1
$)%
Base 1! @ultiplica(Jes sucessi"as por AG? Ex 0+12' # 0+2
$1!%
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
C/NDERS;ES ENTRE SISTE@AS DE N5@ERA<=/
Converses de
Nmeros Inteiros
Converses de
Nmeros Inteiros
Binrio Binrio Octa Octa
!e"adecima !e"adecima
#ecima #ecima
Di"isJes Consecuti"as por H
Di"isJes Consecuti"as por F Di"isJes Consecuti"as por AG
D
n
YD
F
D
A
KD
n
]H
n8A
OYOD
F
]H
A
OD
A
]H
9
D
n
YD
F
D
A
KD
n
]AG
n8A
OYOD
F
]AG
A
OD
A
]AG
9
D
n
YD
F
D
A
KD
n
]F
n8A
OYOD
F
]F
A
OD
A
]F
9
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
C/NDERS;ES ENTRE SISTE@AS DE N5@ERA<=/
Convers$o da %arte
&raccionria
Convers$o da %arte
&raccionria
Binrio Binrio Octa Octa
!e"adecima !e"adecima
#ecima #ecima
Produtos Consecuti"os por H 9&D
A
D
F
Y

D
n
KD
A
]H
8A
OD
F
]H
8F
OYOD
n
]H
8n
Produtos Consecuti"os por F Produtos Consecuti"os por AG
9&D
A
D
F
Y

D
n
KD
A
]F
8A
OD
F
]F
8F
OYOD
n
]F
8n
9&D
A
D
F
Y

D
n
KD
A
]AG
8A
OD
F
]AG
8F
OYOD
n
]AG
8n
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
C/NDERS;ES ENTRE SISTE@AS DE N5@ERA<=/
Binrio Binrio
Octa Octa !e"adecima !e"adecima
Cada n%^ # con"ertido para um 1inrio de U 6its Cada n%^ # con"ertido para um 1inrio de T 6its
A'rupam8se os 6its em 'rupos de T A'rupam8se os 6its em 'rupos de U
Passa8se por uma 1ase interm#dia 2Decimal ou 6inria3
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
/PERA<;ES E@ 6IN7RI/
S/@A
a 1 Soma
Transporte ou
Carry 2C3
9 9 9 9
9 A A 9
A 9 A 9
A A 9 A
EVE@PL/
A 9 A A 9 A
O A A 9 9 A 9
1 9 A A A A A
Carry
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
/PERA<;ES E@ 6IN7RI/
S56TRAC<=/
a 1 Di*eren(a Borro, 263
9 9 9 9
9 A A A
A 9 A 9
A A 9 9
Nota0 Dar e!^ de multiplica()o em 1inrio%%%%
1 9 A 1 9 A
8 0 A 9 0 A 9
9 A A 9 A A
Borro,
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
/PERA<;ES E@ /CTAL
F U R
2H3
O S G
2H3
T F S
2H3
S/@A S56TRAC<=/
T F S
2H3
8 S G
2H3
F U R
2H3
/PERA<;ES E@ \EVADECI@AL
A T R
2AG3
O S C 6
2AG3
L D F
2AG3
S/@A S56TRAC<=/
A A S
2AG3
8 G E D
2AG3
T 6 H
2AG3
Arquitectura de Sistemas Computacionais
/PERA<;ES E@ /CTAL_\EVADECI@AL
@5LTIPLICA<=/
Sistemas de Numerao
S G
2H3
" A U
2H3
T 9
F U
S G
A 9 S 9
2H3
2H3 2A93 2A93 2A93
2H3 2A93 2A93 2A93
2H3 2A93 2A93 2A93
2H3 2A93 2A93 2A93
S S S A
G G G A
FU F9 S U
T9 FU U G
= =
= =
= =
= =
A 6
2AG3
" U C
2AG3
H U
R H
F C
F H
T F C U
2AG3
3 2 3 2 3 2 3 2
3 2 3 2 3 2 3 2
3 2 3 2 3 2 3 2
3 2 3 2 3 2 3 2
16 10 10 10
16 10 10 10
16 10 10 10
16 10 10 10
28 40 10 4 4
2 44 11 4 4
78 120 10 12
84 132 11 12
= = =
= = =
= = =
= = =
A
C 6
A C
6 C
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
REPRESENTA<=/ DE NN@ER/S NEGATID/S
C/@PLE@ENTA<=/
C/@PLE@ENT/ PARA 3"
/ complemento para 1 do n4mero 10010
A F -
.
EVE@PL/
3 F 2 3 F 2 3 F 2 3 F 2
3 F 2
U
9AA9 A A99A A9999 A F
A9999 AG F F
U
= =
= = =
=
-
.
.
.
/ complemento para 1 de um n4mero - com . bits # dado pela se'uinte e!press)o0
RE8RA 4R),!A0 Trocar os 09s por 19s e "ice8"ersa%
omplemento de um n:mero0 M a di*eren(a entre a 1ase 263 e o n4mero 2N3
Arquitectura de Sistemas Computacionais
C/@PLE@ENTA<=/ 2cont%3
C/@PLE@ENT/ PARA D*!5
-
.
F
/ complemento para + de um n4mero - com . bits # dado pela se'uinte e!press)o0
RE8RA5 4R),!A5
Sistemas de Numerao

Determinar o complemento para 1 do n4mero e


somar ao resultado o "alor 1

% Da direita para a esquerda do n4mero encontrar o


primeiro d$'ito a 1% @antX8lo e in"erter os restantes%
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
REPRESENTA<=/ DE NN@ER/S RELATID/S 2F`C3
0 9 A A 9 A 9 A OST
Re'isto de H *lip8*lops onde R *lip8*lops representam a 'rande,a do n4mero e o H^
representa o sinal& olhando da direita para a esquerda%
1 A 9 9 A 9 A A 8 ST
Se pretendermos usar um n4mero *i!o de bits 2a bits3& normalmente usado nas
mquinas& a e!press)o se'uinte indica8nos a 'ama de "alores poss$"eis de representar&
usando #it de sinal0
A F F
A A

. .
-
Re'isto com U bits 2casas3 8 H N R
EVE@PL/
/ n4mero T
2A93
K 0 9AA
2F3
/ n4mero BT ot#m8se0 99AA
2F3
AA99
2F3
O A
2F3
K 1 A9A
2F3
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
/PERA<;ES C/@ NN@ER/S RELATID/S
ADI<=/
A% Decidir so1re o n4mero de casas com que "amos tra1alhar%
F% Tomar m-dulos dos n4meros& em 1inrio%
T% Representar n4meros ne'ati"os na *orma de complemento para F%
U% 5sar re'ra da adi()o%
S% Anali,ar resultados0

Se e!iste carry& despre,8lo%


Se o bit mais si'ni*icati"o& ap-s despre,ar o carry #0

0 B o resultado # positi"o e o bit mais [ esq% # o bit de sinal%

1 B o resultado # ne'ati"o e est na *orma de complemento para F


S56TRAC<=/
A% IdXntico ao ponto A da adi()o%
F% Determinar o complemento para F do diminuendo%
T% Adicionar o diminuidor ao diminuendo%
U% Se'uir o ponto S da adi()o%
Arquitectura de Sistemas Computacionais
Sistemas de Numerao
EVERCbCI/0
a3 AF O C 13 AF 8 C c3 8AF 8 C d3 8AF O C
RES/L5<=/0
o3 o1ri'at-ri 2m$nimo casas G
TA resultado TF 0 casas G Com
AS resultado AG 0 casas S Com
FA resultado FA




3 F 2 3 A9 2
3 F 2 3 A9 2
99A99A C
99AA99 AF % A
=
=
3 F 2 3 A9 2 F A9
AA9AAA C AA9A99 AF
0 C Fc em C 8 e AF 8 de ()o representa Determinar % F
= =
% $ % $
/
Arquitectura de Sistemas Computacionais
9 9 A A 9 9
O 9 9 A 9 9 A
9 A 9 A 9 A
T% a3 AF O C 13 AF 8 C c3 8AF 8 C d3 8AF O C
9 9 A A 9 9
O A A 9 A A A
1 9 9 9 9 A A
A A 9 A 9 9
O A A 9 A A A
1 A 9 A 9 A A
A A 9 A 9 9
O 9 9 A 9 9 A
A A A A 9 A
U% a3 e d3 n)o carry
13 e c3 carry despre,8loZZ
S% a3 0 A 9 A 9 A 13 0 9 9 9 A A c3 1 9 A 9 A A d3 1 A A A 9 A
; FA ; T 7 FA2FdC3 7 T2FdC3
Sistemas de Numerao
RES/L5<=/2cont%30
Complemento
para F do
"alor o1tido
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
3
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
L5NDA@ENT/S DA 7LGE6RA DE 6//LE
PR/P/SI<=/ B M uma *rase ou e!press)o matemtica cujo conte4do pode ser "erdadeiro ou
*also%
Considerar as se'uintes proposi(Jes0
p2!3 K ! # PAR K P9& F& U& G& H& %%%Q
p2!3 representa o conjunto dos n4meros pares
q2!3 K ! # @NLTIPL/ de T K PT& G& C& AF& AS& %%%Q
q2!3 representa o conjunto dos n4meros que s)o m4ltiplos de T%
Estes con<untos pertencem a um conjunto mais 'eral que se desi'na por universo& e que ser
o conjunto dos n4meros naturais%
52!3 K P9& A& F& T& U& %%%Q
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
/s conjuntos podem ser representados 'ra*icamente atra"#s de DIAGRA@AS DE DENN&
le"ando8nos [ o1ten()o de fun=>es lgicas%
!!!7
!7
!!7
p$?&
q2!3 p2!3 ou q2!3 p2!3
q2!3 p2!3 ou q2!3 p2!3 +
2!3 p
on<un=o@ !ntersec=o ou 4roduto #gico
Dis<un=o@ Reunio ou 5oma #gica
omplementa=o ou (ega=o #gica
p$?&
q$?&
p$?&
q$?&
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
C/Ne5N<=/& INTERSEC<=/ /5 PR/D5T/ LGIC/
!6 7
!! 7
!!! 7
Conjunto representado pela proposi()o0
Resulta da intersec()o dos conjuntos 02!3 e o complementar de 12!3%
3 2 3 2 x 0 x 1
Conjunto representado pela proposi()o0
Resulta da intersec()o dos conjuntos 12!3 e o complementar de 02!3%
3 2 3 2 x 0 x 1
Conjunto representado pela proposi()o0
Resulta da intersec()o dos conjuntos complementar de 12!3 e
complementar de 02!3%
3 2 3 2 x 0 x 1
p$?&
q$?&
! 7 Conjunto representado pela proposi()o0
Resulta da intersec()o dos conjuntos 02!3 e 12!3%
3 2 3 2 x 0 x 1
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
C/Ne5N<=/& INTERSEC<=/ /5 PR/D5T/ LGIC/ 2cont%3
Deri*ica8se que as intersec(Jes poss$"eis entre os dois conjuntos s)o as se'uintes0
3 2 3 2
3 2 3 2
3 2 3 2
3 2 3 2
x 0 x 1
x 0 x 1
x 0 x 1
x 0 x 1

A proposi()o 12!3 # 1 ou verdadeira $6& quando en'lo1a os n4meros pares e 02!3 quando
en'lo1a os n4meros m4ltiplos de T% Por outro lado& os seus complementos& que ne'am as
condi(Jes inicais& s)o 0 ou falsos $A&% Isto permite trans*ormar as e!pressJes em cima na
se'uinte ,A'E#A DE 6ERDADE0
a #
S ( a #
L L L
L D L
D L L
D D D
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
a # )
A1erto A1erto Parado
A1erto Lechado Parado
Lechado A1erto Parado
Lechado Lechado Actuado
TA6ELA DE DERDADE
L5N<=/ LGICA DA INTERSEC<=/
/5 PR/D5T/ LGIC/
P/RTA LGICA 2*-D3
b a 2 =
a
b
2
C/Ne5N<=/& INTERSEC<=/ /5 PR/D5T/ LGIC/ 2cont%3
ESI5E@A DE C/NTACT/S ELMCTRIC/S
O3
2
a b
Para e*eitos l-'icos e
simpli*ica()o da ta1ela
*a,8se a correspondXncia
dos estados em que0
A1erto? Parado 9
Lechado? Actuado A
a # )
9 9 9
9 A 9
A 9 9
A A A
TA6ELA DE DERDADE
Arquitectura de Sistemas Computacionais
L5N<=/ LGICA DA RE5NI=/
/5 S/@A LGICA
'lge#ra de Boole
b a 2 + =
O3
4
a
b
a
b
2
ESI5E@A DE C/NTACT/S ELMCTRIC/S
DIe5N<=/& RE5NI=/ /5 S/@A LGICA
a # L
P% Lech% P% Lech% L% Desl%
P% Lech% P% A1erta L% Li'ada
P% A1erta P% Lech% L% Li'ada
P% A1erta P% A1erta L% Li'ada
TA6ELA DE DERDADE
Para e*eitos l-'icos e
simpli*ica()o da ta1ela
*a,8se a correspondXncia
dos estados em que0
P Lech%? L% Desl% 9
P% A1erta? L% Li'ada A
a # S
9 9 9
9 A A
A 9 A
A A A
TA6ELA DE DERDADE
P/RTA LGICA 2O53
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
C/@PLE@ENTA<=/ /5 NEGA<=/ LGICA
a S
A1erto Li'ada
Lechado Desli'ada
a S =
S a
O3
a a
TA6ELA DE DERDADE
ESI5E@A DE C/NTACT/S ELMCTRIC/S
L5N<=/ LGICA DA NEGA<=/
/5 INDERS/R LGIC/
P/RTA LGICA 2-O63
a S
9 A
A 9
TA6ELA DE DERDADE
Para e*eitos l-'icos e simpli*ica()o
da ta1ela *a,8se a correspondXncia
dos estados em que0
A1erto? Desli'ada 9
Lechado? Li'ada A
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
/5TRAS L5N<;ES 67SICAS I@P/RTANTES
Denominao *a#ela %uno +orta Lgica
NAND
a # S
9 9 A
9 A A
A 9 A
A A 9
N/R
a # S
9 9 A
9 A 9
A 9 9
A A 9
EV/R
2e!clusi"e /R3
a # S
9 9 9
9 A A
A 9 A
A A 9
EVN/R
2e!clusi"e N/R3
a # S
9 9 A
9 A 9
A 9 9
A A A
b a S = S
a
b
b a S + = S
a
b
b a b a b a S + = =
b a b a S
b a b a S
+ =
= =
S
a
b
S
a
b
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
REGRAS DE C7LC5L/ DA 7LGE6RA DE 6//LE
A utili,a()o prtica da 7l'e1ra de 6oole "ai permitir0
Apresentar um dado circuito l-'ico atra"#s da sua equa()o ou e!press)o%
Simpli*icar a e!press)o l-'ica de *orma ao circuito poder ser implementado com o menor
n4mero poss$"el de portas l-'icas 2ANDds& /Rds& N/Tds& etc%%%3%
5emelBan=as da 7l'e1ra de 6oole relati"amente [ 7l'e1ra Clssica0
Propriedade Comutati"a%
Propriedade Associati"a%
Propriedade Distri1uti"a%
A principal diferen=a # que na 7l'e1ra de 6oole n)o # poss$"el passar termos de um mem1ro
para o outro de uma equa()o%
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
Re'ras da 7l'e1ra de 6oole a estudar0
REGRAS DE C7LC5L/ DA 7LGE6RA DE 6//LE 2cont%3
E!pressJes s- com constantes%
E!pressJes com uma constante e uma "ari"el%
Dupla ne'a()o%
E!pressJes com mais de uma "ari"el0
Propriedade Comutati"a%
Propriedade Associati"a%
Propriedade Distri1uti"a%
Princ$pio da dualidade ou Lei de De @or'an%
Re'ras 'erais de simpli*ica()o ou Leis de A1sor()o%
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
EVPRESS;ES S C/@ C/NSTANTES
Constantes da 7l'e1ra de 6oole0 f0d e f1d
Lun()o A(D0
A A A
9 9 A
9 A 9
9 9 9
=
=
=
=
Lun()o *R0
A A A
A 9 A
A A 9
9 9 9
= +
= +
= +
= +
Lun()o (*,0
9 A
A 9
=
=
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
EVPRESS;ES C/@ 5@A C/NSTANTE E 5@A DARI7DEL
Lun()o A(D0
9
A
9 9
=
=
=
=
a a
a a a
a a
a
Lun()o *R0
A
A A
9
= +
= +
= +
= +
a a
a a a
a
a a
Arquitectura de Sistemas Computacionais
'lge#ra de Boole
D5PLA NEGA<=/
Propriedade Comutati"a0
a a =
=
=
A A
9 9
EVPRESS;ES C/@ @AIS DE 5@A DARI7DEL
%%%
%%%
= + + = + + = + +
= = =
c a b b c a c b a
c a b b c a c b a
Propriedade Associati"a0
%%% 3 2 3 2
%%% 3 2 3 2
= + + = + + = + +
= = =
b c a c b a c b a
b c a c b a c b a
Propriedade Distri1uti"a0
8 em rela()o [ multiplica=o
3 2 3 2 3 2 c a b a c b a + = +
8 em rela()o [ 5oma
3 2 3 2 3 2 c a b a c b a + + = +
Arquitectura de Sistemas Computacionais
EVPRESS;ES C/@ @AIS DE 5@A DARI7DEL 2cont%3
'lge#ra de Boole
ou com T "ari"eis&
Princ$pio da dualidade ou Lei de De @or'an0
b a b a
b a b a
+ =
= +
c b a c b a
c b a c b a
+ + =
= + +
EVERCbCI/0 Tente *a,er a demonstra()o das Leis de De @or'an%
A demonstra()o poder ser *eita atra"#s0
8 ta1ela de "erdade%
8 dia'rama de Denn%
8 circuitos l-'icos 2ainda por a1ordarZZ3%
8 analiticamente%
Arquitectura de Sistemas Computacionais
REGRAS GERAIS DA SI@PLILICA<=/ /5 LEIS DE A6S/R<=/
'lge#ra de Boole
a b a a
a b a a
= +
= +
3 2
3 2
b a b a a
b a b a a
= +
+ = +
3 2
3 2
a b a b a
a b a b a
= + +
= +
3 2 3 2
3 2 3 2
Ag Re'ra0
Fg Re'ra0
Tg Re'ra0
Tente Demonstrar%%%
EVERCbCI/0 Simpli*ique a se'uinte e!press)o l-'ica0
a cb a b a b c cba c b a 7 + + + = 3 & & 2 a b ca + 0 Resposta
Arquitectura de Sistemas Computacionais
L/R@A CANNICA DE 5@A L5N<=/ 6//LEANA
'lge#ra de Boole
A todo o produto de somas ou soma de produtos nos quais aparecem todas as
"ari"eis em cada um dos termos que constituem a e!press)o& em *orma directa ou
complementada& da8se a desi'a()o de A*R"A A(C(!A%
S)o e!emplos de *ormas can-nicas as se'uintes *un(Jes0
Somas de Produto 3 2 3 2 3 2
Produtos de Soma
F
A
c b a c b a c b a S
c b a c b a c b a S
+ + + + + + =
+ + =
As *un(Jes do tipo S
A
tomam o nome de primeira forma cannica ou
"!(,ER"*5 2)interms3 e as do tipo S
F
denominam8se de segunda forma
cannica ou "A/,ER"*5 2)aterms3%
Arquitectura de Sistemas Computacionais
L5N<=/ LGICA A PARTIR DA TA6ELA DE DERDADE
'lge#ra de Boole
Seja 3 & & 2 c b a 7 de*inida pela ta1ela de "erdade0
a # c ,
9 9 9 A
9 9 A A
9 A 9 A
9 A A 9
A 9 9 A
A 9 A A
A A 9 A
A A A 9
=
+ + + + + =
3 G & S & U & F & A & 9 2 3 & & 2
3 & & 2
0 produtos3 de 2soma Can-nica *orma primeira Na
c b a 7
c b a c b a c b a c b a c b a c b a c b a 7

=
+ + + + =
3 R & T 2 3 & & 2
3 2 3 2 3 & & 2
0 somas3 de 2produto Can-nica *orma se'unda Na
c b a 7
c b a c b a c b a 7
Arquitectura de Sistemas Computacionais
@APAS DE aARNA5G\
'lge#ra de Boole
5m "apa de DarnaugB # uma representa()o 'r*ica de uma *un()o% Trata8se de um
dia'rama *eito de quadrados% Cada quadrado representa um mintermo% 5m mapa para uma
*un()o l-'ica com n entradas # um conjunto de F
n
c#lulas& uma para cada mintermo%
@apa de duas entradas0
9 A
9
A
a
b
b b
a
a
@apa de trEs entradas0
99 9A AA A9
9
A
a
bc
b b b b
a
a
c c c c
9 A
9
9 A
A
F T
a
b
b a b a
b a
ab
99 9A AA A9
9
9 A T F
A
U S R G
a
bc
c b a c b a bc a c b a
c b a c b a abc c ab
Arquitectura de Sistemas Computacionais
@APAS DE aARNA5G\ 2cont%3
'lge#ra de Boole
@apa de quatro entradas0
99 9A AA A9
99
9A
AA
A9
ab
cd
c c c c
b a
b a
d d d d
99 9A AA A9
99
9 A T F
9A
U S R G
AA
AF AT AS AU
A9
H C AA A9
ab
cd
d c b a d c b a cd b a d c b a
d c b a d c b a bcd a d bc a
b a
b a d c ab d c ab abcd d abc
d c b a d c b a cd b a d c b a
@apa de cinco entradas0
99 9A AA A9
99
9A ]
AA
A9 O O
ab
cd
99 9A AA A9
99
9A ]
AA
A9 O O
ab
cd
9 = e A = e
] 8 Posi(Jes adjacentes%
8 Posi(Jes adjacentes%
O 8 Posi(Jes adjacentes%
Elementos em posi(Jes
correspondentes& mas
em quadros di*erentes&
s)o ad<acentesF
Arquitectura de Sistemas Computacionais
@APAS DE aARNA5G\ 2APLICA<=/3
'lge#ra de Boole
(ota0 / conceito de Don8t care conditions ser a1ordado mais tarde%
= 3 A9 & H & R & G & U & T & F & 9 2 3 & & & 2 d c b a 7
U "ari"eis F
U
K AG quadriculas
99 9A AA A9
99 A A A
9A A A A
AA
A9 A A
ab
cd
c a d b d a 9 + + =
d c # a %
9 9 9 9 A
9 9 9 A 9
9 9 A 9 A
9 9 A A A
9 A 9 9 A
9 A 9 A 9
9 A A 9 A
9 A A A A
A 9 9 9 A
A 9 9 A 9
A 9 A 9 A
A 9 A A 9
A A 9 9 9
A A 9 A 9
A A A 9 9
A A A A 9
Dada a se'uinte *un()o0
,a%ela de 6erdade
M prtica comum en"ol"er com
um la(o os As adjacentes?
Apenas # poss$"el e*ectuar
a'rupamentos com um n^ de
c#lulas i'ual a uma potXncia de F
5ma *un()o 6ooleana& e!pressa como
soma de mintermos& especi*ica as
condi(Jes que le"am a *un()o a ser
i'ual a A%
Arquitectura de Sistemas Computacionais
REALI:A<=/ DE L5N<;ES 2com circuitos l-'icos3
'lge#ra de Boole
L5N<;ES (A(D E (*R C/@/ L5N<;ES 5NIDERSAIS
%-N./O +O0*1 N1ND +O0*1 NO0
INDERS/R
AND
/R
a
b a
b
a
b
b a
a
b
b a
a
b a +
b
a
b
b a +
a
b
b a +
a a
a a
a
a
c A c
a a
a
c 9 c
a
I@PLE@ENTA<=/ D/ V/R C/@ P/RTAS 5NIDERSAIS
3 2 3 2 b a b a b a b a b a + + + = + =
3 2 3 2 b a b a =
& com portas (*R
& com portas (A(D
Arquitectura de Sistemas Computacionais
ETAPAS PARA A S/L5<=/ DE 5@ PR/6LE@A
'lge#ra de Boole
De*ini()o de "ari"eis?
/1ten()o da Ta1ela de Derdade?
Determina()o da *un()o?
Simpli*ica()o da *un()o 2anal$tica& mapas de harnau'h& Iuine8@cClusaei3?
Con"ers)o das *un(Jes para o uso de portas pretendidas?
Desenho do dia'rama l-'ico?
Reali,a()o%
Arquitectura de Sistemas Computacionais
Para reali,ar uma primeira selec()o de in'resso numa determinada empresa s)o
precisos dois ou mais dos se'uintes requisitos0
8 Possuir t$tulo acad#mico%
8 Possuir dois anos de e!periXncia%
8 Ser recomendado pela direc()o da empresa%
Construa& com portas l-'icas& um circuito que reali,e& automaticamente& a
selec()o%
EVERCbCI/
'lge#ra de Boole
+SELEC<=/ PARA INGRESS/ E@ E@PRESA.
Arquitectura de Sistemas Computacionais
S/L5<=/0
'lge#ra de Boole
1F Defini=o de vari2veis:
a 8 Possuir t$tulo acad#mico%
b 8 Possuir dois anos de e!periXncia%
c 8 Ser recomendado pela direc()o da empresa%
+F ,a%ela de 6erdade:
a # c %
9 9 9 9
9 9 A 9
9 A 9 9
9 A A A
A 9 9 9
A 9 A A
A A 9 A
A A A A
GF Determina=o da fun=o:
c b a c b a c b a c b a 9 + + + =
HF 5implifica=o da fun=o:
99 9A AA A9
9 A
A A A A
a
bc
c b b a c a 9 + + =
IF onverso em (A(Ds:
c b b a c a 9 =
0F ircuito lgico:
a b c
S
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
4
Arquitectura de Sistemas Computacionais
LA@bLIAS LGICAS
1s2ectos *ecnolgicos
Escalas de inte'ra()o0
SSI 2Small Scale :ntegration3 B Inte'ra()o em pequena escala% En"ol"e um n4mero de
trans$stores na ordem da de,ena e inte'ra entre uma e de, portas por in"-lucro%
)SI 2@edium Scale Inte'ration3 B Inte'ra()o em m#dia escala% Inte'ra numa 4nica
pastilha de sil$cio& circuitos di'itais en"ol"endo entre A9 e F99 portas l-'icas%
LSI 2Lar'e Scale Inte'ration3 B Inte'ra()o em lar'a escala% A este n$"el inte'ram8se&
numa 4nica pastilha& sistemas di'itais de 'rande comple!idade& en"ol"endo muitos
milhares de trans$stores 2p%e% mem-rias de ele"ada capacidade de arma,enamento&
microprocessadores& etc%3%
3LSI 2Deri Lar'e Scale Inte'ration3 B Inte'ra()o em muito lar'a escala% Tornam8se
muito comuns hoje em dia circuitos DLSI& que inte'ram numa 4nica pastilha estruturas
de computadores en"ol"endo "rias centenas de milhar de trans$stores
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
/1jecto de estudo0
TTL 26ransistor ; 6ransistor 4ogic3
C@/S 2Com1lementary 2etal Oxide Semiconductor3
LA@bLIAS LGICAS 2cont%3
Para projectar um dispositi"o di'ital en"ol"endo circuitos l-'icos de uma dada *am$lia #
*undamental conhecer as caracter$sticas dessa *am$lia& nomeadamente0
Tempo de propa'a()o 2"elocidade3%
PotXncia dissipada%
Lan8out%
@ar'em de ru$do%
Lactor de m#rito%
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
CARACTERbSTICAS DAS LA@bLIAS LGICAS 415
,E"4* DE A,RA5* DE 4R*4A8AJK* $t
p
&
/ tempo de atraso de propa'a()o de um sinal # a quantidade de tempo que "ai desde que a
ocorrXncia de uma mudan(a de estado na entrada se re*lita na sa$da%
t
4.#
t
4#.
t
4.#
t
4#.
Entrada 9D
Sa$da
SD
D
/\
D
/L
D
/\
D
/L
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
CARACTERbSTICAS DAS LA@bLIAS LGICAS 425
Iualquer circuito necessita de certa potXncia para poder reali,ar opera(Jes% A dissipa()o
de potXncia por porta e!pressa8se em mj e # o produto da tens)o de polari,a()o 2D
CC
3
pela corrente *ornecida pela *onte de alimenta()o 2I
CC
3 [ porta% Este "alor de corrente
depende do n$"el l-'ico de sa$da da porta% Se o n$"el *or ALT/ temos I
CC\
se *or 6AIV/
temos I
CCL
% A m#dia destas correntes # que nos d I
CC
% Assim P
D
KD
CC
I
CC
%
A potEncia dissipada L medida por circuito ou por porta lgicaF
Indica qual o n4mero m!imo de entradas de portas do mesmo tipo poder)o ser li'adas a
uma sa$da& sem que se altere o seu *uncionamento%
Dalores t$picos 2TTL standard 2RU99330
* :
OH
U99 = * :
:H
U9 = m* :
O4
AG = m* :
:4
G & A =
/ "alor do LAN8/5T # determinado pelo quociente de0 A9 = =
:4
O4
:H
OH
:
:
:
:
4*,M(!A D!55!4ADA
AA(7*3,
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
CARACTERbSTICAS DAS LA@bLIAS LGICAS 465
Constitui uma mar'em de se'uran(a do utili,ador& para e"entual ru$do captado no percurso
entre a sa$da da porta e!citadora 2dri7er3 e a entrada da porta e!citada 2carga3%
N$"el L-'ico A
@ar'em de Ru$do
:ona Am1$'ua
@ar'em de Ru$do
N$"el L-'ico 9
min
D
OH
m!
D
O4
min
D
:H
m!
D
:4
D 5
D & _ & 7 2 4 2
&U_9&SD 0
D 0
&HD 0
D 2
)argens de 0u8do em **L
"AR8E" DE R3ND* E (N6E!5 #C8!*5
N$"el L-'ico A
@ar'em de Ru$do
:ona Am1$'ua
@ar'em de Ru$do
N$"el L-'ico 9
min
D
OH
m!
D
O4
min
D
:H
m!
D
:4
D 5
D &9 4
&AD 0
D 0
cc
D 9k 3
cc
D k 70
)argens de 0u8do em 9)OS
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
CARACTERbSTICAS DAS LA@bLIAS LGICAS 4:5
"AR8E" DE R3ND* E (N6E!5 #C8!*5
/s par>metros especi*icados pelos *a1ricantes nos data s<eets s)o de*inidos da se'uinte
*orma0
3
OHmin
Tens)o de sa$da m$nima no estado \IG\?
3
IHmin
Tens)o de entrada m$nima de modo a ser reconhecida como um estado \IG\?
3
ILm&
Tens)o de entrada m!ima de modo a ser reconhecida como um estado L/j?
3
OLm&
Tens)o de sa$da m!ima no estado L/j?
N87eis t82icos 2ara **L"
3
OHmin
; F&U_F&R D
3
IHmin
; F D
3
ILm&
B 9&H D
3
OLm&
B 9&U_9&S D
N87eis t82icos 2ara 9)OS"
3
OHmin
; U&C D
3
IHmin
; R9k de D
cc
3
ILm&
B T9k de D
cc
3
OLm&
B 9&A D
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
CARACTERbSTICAS DAS LA@bLIAS LGICAS 4<5
"AR8E" DE R3ND* E (N6E!5 #C8!*5
Para al#m da tens)o aplicada [ entrada dos circuitos l-'icos 2TTL ou C@/S3& a entrada
consome tam1#m uma pequena corrente% Ent)o a quantidade m!ima de corrente que pode
*luir # tam1#m especi*icada pelos *a1ricantes nos data s<eets e # desi'nada por0
I
IHm&
Corrente m!ima que *lui para a entrada no estado \IG\?
I
ILm&
Corrente m!ima que *lui para a entrada no estado L/j?
I
OLm&
Corrente m!ima que uma sa$da pode a1sor"er 2sin.ing current3 no estado
L/j de modo a manter a tens)o de sa$da n)o superior a 3
O4mx
?
I
OHm&
Corrente m!ima que uma sa$da pode *ornecer 2sourcin' current3 no estado
\IG\ de modo a manter a tens)o de sa$da acima de 3
OHmin
?
AA,*R DE "OR!,*
Duas das caracter$sticas mais importantes das *am$lias l-'icas s)o a "elocidade e o
consumo% Assim o factor de mLrito de um produto # dado pela rela()o0
Desta *orma quanto menor *lr o "alor o1tido& tanto melhor # o produtoZ
onsumida =ot>ncia c ro1aga?@o 6em1o de 1
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
LA@bLIA TTL 265*-S:S6O5 65*-S:S6O5 4OA:C3
4rincipais caractersticas:
Imunidade ao Ru$do?
@enor consumo de potXncia a altas *requXncias%
Sur'em no mercado duas "ersJes identi*icadas pelo su*i!o& SU B militar 28SS^C e OAFS^C3 e
RU B comercial 29^C e OR9^C3% Este # se'uido por uma ou mais letras que identi*icam a
su1*am$lia e F& T ou U d$'itos que indicam as portas ou a *un()o do inte'rado%
RU ALS !!
Comercial *dvanced 4o,
=o,er Sc<ott.y
Tipo de Porta
RU_SU LA@ !!& onde LA@ se re*ere [ mnem-nica da su1*am$lia a que pertencem
E?emplo0 /s circuitos inte'rados 2CIs3 RUAS99& RUALS99& RUL99& RU\99& RU99 s)o todos
constitu$dos por U portas NAND de F entradas cada%
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
CARACTERbSTICAS DA =E59O52*-CE DA LA@bLIA TTL 415
AA"N#!A ,,# $primrdios&
S#rie TTL Standard RU_SU!!?
S#rie TTL RU_SU\!! 2\8Hig< S1eed3?
S#rie TTL RU_SUL!! 2L84o, =o,er3?
Com o aparecimento do transistor Schottai as s#ries da *am$lia TTL RU!!& RU\!! e
RUL!! tornaram8se o1soletas%
Cronolo'icamente0
8PH5 $57Sc=ott>?& B @aior "elocidade no entanto tXm um maior consumo de
potXncia?
8PH#5 $#57Lo@ +o@er Sc=ott>?& B @esma "elocidade que "ersJes anteriores& no
entanto tXm um consumo de potXncia S "e,es in*erior?
8PHA5 $A#571d7anced Sc=ott>?& B Do1ro da "elocidade que RUS para o mesmo
consumo de potXncia%
AA"N#!A ,,# 5cBottQR
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
%1)ALI1 **L
Tempo de
Propa'% 2ns3
PotXncia por
porta 2mj3
Lactor de
m#rito
S0 Sc<ott.y B RUS!! T AC SR
LS0 4o, =o,er Sc<ott.y B RULS!! C F AH
AS0 *dvanced Sc<ott.y B RUAS!! A&R H AT&G
ALS0 *dvanced 4o, =o,er Sc<ott.y B RUALS!! U A&F U&H
L0 9ast B RUL!! T U AF
CARACTERbSTICAS DA =E59O52*-CE DA LA@bLIA TTL 425
Cronolo'icamente0
8PHA#5 $A#571d7anced Lo@ +o@er Sc=ott>?& B Delocidade superior [ RULS e
1ai!o consumo de potXncia?
8PHA $A7%ast **L& B Posiciona8se entre as s#ries RUAS e RUALS% Tem a "anta'em
de possuir um 1om *actor de m#rito 2rela()o "elocidade_consumo de potXncia3%
AA"N#!A ,,# 5cBottQR $contF&
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
SAbDAS TTL E@ O=E- CO44EC6O5
'
B
(
1
(
2
(
3
)
*
1
*
2
*
3
+
CC
NAND com sa$das em O1en Collector
/ m#todo para se reali,ar um AND entre "rias sa$das em +O1en Collector. consiste em
li'ar todas as sa$das umas [s outras e colocar uma resistXncia de 1ull u1 li'ada a essa sa$da%
Este tipo de li'a()o # desi'nado por @ired 1ND% Iuando todas as sa$das esti"erem a f1d o
ponto de li'a()o estar a f1d%
Bired *-D
+
CC
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
LA@bLIA C@/S 2CO2=4E2E-6*5C 2E6*4 OD:DE SE2:CO-DEC6O5%
4rincipais caractersticas:
@aior *acilidade de constru()o?
/cupa()o de espa(o& redu,ida?
Consumo 1ai!o de potXncia?
Imunidade ao ru$do%
5OR!E5 "*5
C@/S S#rie U999?
RUC 2C B C2OS3?
RU \C 2Hig< S1eed C2OS3?
RU \CT 2Hig< S1eed C2OS ; 664 com1atible3?
RU D\C 23ery Hig< S1eed C2OS3?
RU D\CT 23ery Hig< S1eed C2OS ; 664 com1atible3
RU LCT 29ast C2OS ; 664 com1atible3
RU LCT8T 29ast C2OS ; 664 com1atible ,it< 664 3
OH
3
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
AA"N#!A "*5 $contF&
9)OS :0001B:000B
Loi introdu,ida no mercado na d#cada de G9?
A corrente de sa$da n)o # a mesma para todos os circuitos?
/s tempos de propa'a()o dependem da capacidade de car'a?
A s#rie U9996 est preparada para *ornecer maior corrente de sa$da?
\oje ainda e!istem *un(Jes nesta s#rie que n)o dispJem de equi"alentes nas mais
recentes?
Dissipa()o redu,ida de potXncia sendo no entanto 1astante lentas%
C:9
M uma s#rie compat$"el pino a pino e *un()o a *un()o com os circuitos TTL& desde
que disponham dos mesmos n4meros de marca()o% Desta *orma torna8se poss$"el
su1stituir os circuitos TTL por equi"alentes C@/S?
As sa$das destes circuitos s)o +bu77eriFadas.%
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
AA"N#!A "*5 $contF&
C:H9 4Hig= S2eed 9)OS5
Permitem uma 'ama de alimenta()o entre os F 2menor consumo de potXncia3 e GD
2maior "elocidade de comuta()o3?
Compat$"eis com os circuitos TTL RULS& mas n)o na totalidade?
6em adaptadas em sistemas que usem e!clusi"amente circuitos C@/S%
C:H9* 4Hig= S2eed 9)OS;**L 9om2ati#le5
Ele"ada "elocidade de comuta()o?
@enor consumo de portXncia e total compati1ilidade de n$"eis com circuitos TTL?
5ma 4nica sa$da poder alimentar& pelo menos& A9 car'as TTL LS%
C:3H9 e C:3H9* 43er? Hig= S2eed 9)OS e **L 9om2ati#le5
Duas "e,es mais rpidas que a "ers)o predecessora& a s#rie \C e \CT?
@ant#m a compati1ilidade com todas as s#ries anteriores da mesma *am$lia?
5ma em rela()o [ outra di*erem unicamente nos n$"eis de entrada que reconhecem&
sendo as suas caracter$sticas de sa$da i'uais?
Arquitectura de Sistemas Computacionais
Permitem uma 'ama de alimenta()o entre os F e os S&SD?
Com estes circuitos j se conse'uem o1ter tempos de propa'a()o na ordem dos Tns&
compar"el aos tempos da s#rie TTL RU ALS%
1s2ectos *ecnolgicos
AA"N#!A "*5 $contF&
C:3H9 e C:3H9* 43er? Hig= S2eed 9)OS e **L 9om2ati#le5 $contF&
Sur'iram no in$cio dos anos C9?
Permitem i'ualar e mesmo e!ceder a "elocidade e capacidade de ser"ir de driver
relati"amente [s melhores s#ries TTL& redu,indo o consumo de potXncia e mantendo
compati1ilidade%
Aplicadas principalmente na implementa()o de buses e outros circuitos com
pesadas car'as& pois pode *ornecer 2sourcing3 ou a1sor"er 2sin.ing3 acima dos
GUmA no estado L/j%
C:%9* e C:%9*D* 4%ast 9)OS **L 9om2ati#le e %ast 9)OSD**L
9om2ati#le Eit= **L 3
OHm&
5
Arquitectura de Sistemas Computacionais
1s2ectos *ecnolgicos
#!8AJSE5 E(,RE AA"N#!A5 #C8!A5
9)OS **L
Par>metro U9996 RU\C RU\CT RU RULS RUAS RUALS
D
I\2min3
2D3
G@I G@I +@0 +@0 +@0 +@0 +@0
D
IL2m!3
2D3
1@I 1@0 0@- 0@- 0@- 0@- 0@-
D
/\2min3
2D3
H@TI H@T H@T +@H +@H +@P +@P
D
/L2m!3
2D3
0@0I 0@1 0@1 0@H 0@I 0@I 0@H
I
I\2m!3
2A3
1 1 1 H0 +0 +00 +0
I
IL2m!3
2A3
1 1 1 1000 H00 +000 100
I
/\2m!3
2mA3
0@H H H 0@H 0@H + 0@H
I
/L2m!3
2mA3
0@H H H 10 - +0 -
Dalores t$picos de entrada e sa$da para as *am$lias TTL e C@/S 2casos e!tremos de
Luncionamento3%
Arquitectura de Sistemas Computacionais
#!8AJK* "*5 U ,,#
1s2ectos *ecnolgicos
74!C00
74')00
74')00
'
B
#
C
No estado alto& este tipo de li'a()o n)o necessita de qualquer cuidado& pois podemos "eri*icar
que& se'undo os "alores t$picos de tens)o de sa$da do C@/S 2D
/\
3& satis*a, os n$"eis de
tens)o t$picos requeridos pela entrada TTL no estado alto D
I\
% Deri*ica8se tam1#m que a
*am$lia C@/S *ornece uma corrente I
/\
superior ao "alor e!i'ido I
I\
pela entrada TTL%
Fem2lo de ligao
Arquitectura de Sistemas Computacionais
#!8AJK* "*5 U ,,# $contF&
1s2ectos *ecnolgicos
No estado %ai?o os circuitos TTL e!i'em uma entrada relati"amente alta que "aria de A99A
a FmA% Assim& e porque as s#ries C@/S \C e \CT podem *ornecer UmA& podem *acilmente
ser"ir de driver a qualquer s#rie TTL% No entanto& os circuitos da s#rie U9996 n)o podem
ser"ir de driver a uma 4nica entrada de qualquer circuito das s#ries RU e RUAS%
Neste caso ter$amos que optar por recorrer a um bu77er% / bu77er pode ser outro C@/S& tal
como o RU\C ou o RU\CT%
C,O) 4000B
C,O)
74!C-!C*
**.
/N# /N# /N#
5+ 5+ 5+
Arquitectura de Sistemas Computacionais
#!8AJK* "*5 U ,,# $contF&
1s2ectos *ecnolgicos
/utro pro1lema que sur'e& # quando o circuito C@/S # alimentado com uma tens)o 5
DD
KASD
e # necessrio li'8lo a um circuito TTL% Neste caso usamos um circuito deslocador de n$"el
2U9S963& que con"erte a tens)o ele"ada para os SD necessrios aos circuitos TTL%
C,O) **.
/N# /N# /N#
15+ 5+
4050B
5+
0+
15+
0+
5+
Arquitectura de Sistemas Computacionais
#!8AJK* ,,# U "*5
1s2ectos *ecnolgicos
**.
C,O)
(
%
5+
**.
C,O)
(
%
5+
I
(%
I
O.
1
2
n
I
I.
I
I.
I
I.
No que di, respeito [ tens)o& todos os circuitos
da s#rie TTL *ornecem uma tens)o D
/\min

demasiado 1ai!a *ace ao "alor D
I\min
e!i'ido
pelas entradas dos circuitos C@/S%
Neste caso # necessrio ele"ar os n$"eis TTL
para poderem ser aceites pelos circuitos C@/S&
como tam1#m # necessria a utili,a()o de uma
resistXncia de +pull8up.%
/ "alor dessa resistXncia de +1ull/u1. de"er ser
tal que0
3 2 3 2
3 2
C2OS n: 664 :
3 3
5
:4 O4
mx O4 CC
1

=
Arquitectura de Sistemas Computacionais
9ircuitos 9om#inacionais
5
Arquitectura de Sistemas Computacionais
9ircuitos 9om#inacionais
CD!8*5 415
Defini=o:
+9digo pode8se de*inir como o conjunto de n/bits de com1ina(Jes di*erentes
em que cada uma delas representa um determinado "alor ou qualquer outra coisa%
A uma com1ina()o em particular # atri1u$do o nome de 2ala7ra de cdigo%.

Numa 2ala7ra de cdigo pode n)o e!istir uma rela()o aritm#tica entre os "rios bits ou o
que representam?

5m cdigo que utili,e com1ina(Jes de n/bits n)o necessita de o1ri'atoriamente utili,ar


2
n
2ala7ras de cdigo "lidas
Arquitectura de Sistemas Computacionais
digos a estudar:
(umLricos:
m6CD?
m6CDVST?
m1 out o7 n?
mGRAn?
me/\NS/N?
m6C/?
m6C\?
AlfanumLricos:
mASCII?
mE6CDIC?
9ircuitos 9om#inacionais
CD!8*5 425
Arquitectura de Sistemas Computacionais
DF9I)1L B9D8:21 2:21 B9D GSD6 BiHuin&rio 1 out o, 10
9 9999 9999 99AA 9A9999A A999999999
A 999A 999A 9A99 9A999A9 9A99999999
F 99A9 99A9 9A9A 9A99A99 99A9999999
T 99AA 99AA 9AA9 9A9A999 999A999999
U 9A99 9A99 9AAA 9AA9999 9999A99999
S 9A9A A9AA A999 A99999A 99999A9999
G 9AA9 AA99 A99A A9999A9 999999A999
R 9AAA AA9A A9A9 A999A99 9999999A99
H A999 AAA9 A9AA A99A999 99999999A9
C A99A AAAA AA99 A9A9999 999999999A
+ala7ras de 9digo no usadas
A9 A9A9 9A9A AA9A 9999999 9999999999
AA A9AA 9AA9 AAA9 999999A 99999999AA
Y Y Y Y Y Y
CD!8*5 '!()R!*5 4ARA RE4RE5E(,AR 6A#*RE5 DE!"A!5
9ircuitos 9om#inacionais
No m$nimo s)o necessrios U 1its para representar os de, d$'itos decimais% E!istindo no
entanto imensas *ormas de o reali,ar% As mais comuns apresentam8se a se'uir na ta1ela0
Arquitectura de Sistemas Computacionais
CD!8* 'D *3 DE!"A# *D!A!AD* E" '!()R!*415
'D # a si'la do nome do c-di'o escrita em in'lXs0 Binary Coded Decimal%
'D (atural@ ('D ou 'D-H+1
m Codi*ica os di'itos de 9 at# C pelas suas representa(Jes 1inrias de U bits& 9999
2F3
at#
A99A
2F3
% No entanto as com1ina(Jes A9A9
2F3
at# AAAA
2F3
n)o s)o usadas?
m M um c-di'o pesado0 cada d$'ito decimal # o1tido atra"#s da pala"ra de c-di'o 1astando
atri1uir a cada d$'ito 1inio o seu respecti"o peso& i %#& a sequXncia normal de potXncias
de 1ase F0 - H + 1%
'D no (atural
m /s pesos dos di*erentes bits j n)o tem a mesma sequXncia das potXncias de 1ase F%
Estes c-di'os s)o usualmente utili,ados para *acilitar opera(Jes que utili,em
complemento%
digos A3,**"4#E"E(,ARE5
m C-di'os 6CD n)o Naturais tXm a particularidade de permitirem determinar *acilmente o
complemento a C dos d$'itos decimais& 1astando para tal in"erter os 1its que os
compJem%
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
digos A3,**"4#E"E(,ARE5 $contF&
m Por e!emplo& complemento a C do "alor U 29A993 # C B U K S 2A9AA3%
E?emplos de digos 'D de Vuatro 'its 4onderados:
FUFA] UTFA SFFA SUFA GTFA RUFA TTFA] UUFA STAA
GFFA GUFA UTAA] SFAA] STFA GTAA RTFA HUFA
2N6CD3
'D E?cesso G $/5G&
m M o1tido a partir do 6CD somando T a cada d$'ito% Assim em "e, de come(ar por
9K9999& come(a por 9K99AA?
m Trata8se tam1#m de um c-di'o A3,**"4#E"E(,AR%
CD!8* 'D *3 DE!"A# *D!A!AD* E" '!()R!*425
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
1 out o, 10
m M o m#todo de codi*ica()o mais esparso para d$'itos decimais?
m Das A9FU com1ina(Jes poss$"eis s- utili,a A9?
9ircuitos 9om#inacionais
CD!8* 'D *3 DE!"A# *D!A!AD* E" '!()R!*465
'iquin2rio
m /s c-di'os decimais podem ter mais de U 1its& # o caso do %iquin2rio?
m /s dois primeiros 1its indicam se o "alor se encontra entre 9 B U ou S B C% /s 4ltimos
indicam o seu "alor?
m Detec()o de erros e apresentada como uma das principais "anta'ens?
m S)o s- utili,adas A9 da AFH com1ina(Jes poss$"eis%
Arquitectura de Sistemas Computacionais
9ircuitos 9om#inacionais
CD!8* 8RAW $CD!8* REA#E,!D*& 415
Arquitectura de Sistemas Computacionais
9ircuitos 9om#inacionais
"Ltodos de onstru=o do digo 8RAW:
"Ltodo 1:

/ c-di'o Grai para 1/bit tem unicamente duas pala"ras de c-di'o0 9 e A?

As primeiras 2
n
pala"ras de c-di'o de um c-di'o Grai de $nG1%/bit s)o i'uais [s do
c-di'o Grai de n/bit escritas da mesma *orma mas com um 9 [ esquerda de cada
pala"ra de c-di'o?

As 4ltimas 2n pala"ras de c-di'o de um c-di'o Grai de $nG1%/bit s)o i'uais [s de um


c-di'o Grai de n/bit& escritas de ordem in"ersa e com um A [ esquerda de cada pala"ra
de c-di'o%
CD!8* 8RAW $CD!8* REA#E,!D*& 425
"Ltodo +:

/s bits de uma pala"ra de c-di'o 6inrio de n/bits s)o numerados da direita para a
esquerda& desde 9 at# n/1?

/ bit i de uma pala"ra de c-di'o do c-di'o Grai # 9 se os bits i e iG1 da


correspondente pala"ra 1inria *orem i'uais& caso contrrio # A% Iuando iG1#n& o bit n
# considerado 9?
Arquitectura de Sistemas Computacionais
9ircuitos 9om#inacionais
CD!8* 8RAW $CD!8* REA#E,!D*& 465
Bin&rio I01J
9 9 9 9 9 9
9 9 A 9 9 A
9 A 9 9 A A
9 A A 9 A 9
A 9 9 A A 9
A 9 A A A A
A A 9 A 9 A
A A A A 9 9
E?emplo do mLtodo +:
i(00
mBit 0 2iK93 do c-di'o 1inrio # i'ual a 1?
mBit 1 2iKA3 do c-di'o 1inrio # i'ual a 0?
Portanto&
mBit 0 do c-di'o Grai # i'ual a 1%
i(10
mBit 1 2iKA3 do c-di'o 1inrio # i'ual a 0?
mBit 2 2iKF3 do c-di'o 1inrio # i'ual a 0?
Portanto&
mBit 1 do c-di'o Grai # i'ual a 0%
i(20
mBit 2 2iKF3 do c-di'o 1inrio # i'ual a 0?
mBit n 2iKT3 do c-di'o 1inrio # i'ual a 0?
Portanto&
mBit 0 do c-di'o Grai # i'ual a 0%
1it 9
1it A
1it F
Arquitectura de Sistemas Computacionais
DF9I)1L I01J GSD6 I01J
9 9999 99A9
A 999A 9AA9
F 99AA 9AAA
T 99A9 9A9A
U 9AA9 9A99
S 9AAA AA99
G 9A9A AA9A
R 9A99 AAAA
H AA99 AAA9
C AA9A A9A9
9ircuitos 9om#inacionais
CD!8* 8RAW $CD!8* REA#E,!D*& 4:5
0e2resentao do sistema decimal em cdigo Ira? e Ira? ecesso 6
Arquitectura de Sistemas Computacionais
CD!8* X*.(5*(
DF9I)1L KOHNSON
9 9999
A 999A
F 99AA
T 9AAA
U AAAA
S AAA9
G AA99
R A999
A sequEncia de procedimentos consiste:
m Iniciar tudo a ,eros 29ds3%
m Con"ertX8los sucessi"amente em Ads a partir da direita& at# se o1er tudo a Ads%
m Con"ertX8los sucessi"amente em 9ds a partir da direita at# o "alor possuir unicamente
o d$'ito mais si'ni*icati"o a A%
9digo Ko=nson de : #its
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
CDIG/S 6C/ E 6C\
'* B Binary Coded Octal
'. B Binary Coded Hexadecimal
DF9I)1L B9O B9H
9 999 999 9999 9999
A 999 99A 9999 999A
F 999 9A9 9999 99A9
T 999 9AA 9999 99AA
U 999 A99 9999 9A99
S 999 A9A 9999 9A9A
G 999 AA9 9999 9AA9
R 999 AAA 9999 9AAA
H 99A 999 9999 A999
%%% %%% %%%
AG 9A9 999 999A 9999
AR 9A9 99A 999A 999A
%%% %%% %%%
TA 9AA AAA 999A AAAA
TF A99 999 99A9 9999
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
CDIG/S ASCII E E6CDIC
A5!! B *merican Standard Code 7or :n7ormation :nterc<ange
E'D! B Extended Binary Coded Decimal :nterc<ange Code
9aracteres
3&lidos
1S9II FB9DI9
9aracteres
3&lidos
1S9II FB9DI9
9aracteres
3&lidos
1S9II FB9DI9
9 T9 L9 o RC UL k FS GC
%%% %%% %%% p FG S9 q TE GE
C TC LC Z FA SA W TL GL
A UA CA r FU S6 0 TA RA
%%% %%% %%% ] FA SC s FT R6
: SA EC 3 FC SD t U9 RC
6lana F9 U9 ? T6 SE f FR RD
% FE U6 u FD G9 K TD RE
2 FH UD _ EL GA + FF RL
O F6 UE & FC G6 v TC UC
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
*RREJK* DE ERR*5 A,RA6O5 DE '!,5 DE 4AR!DADE 415
5m erro num sistema di'ital consiste na corrup()o do "alor correcto de uma dada in*orma()o
para qualquer outro "alor% M normalmente causado por uma *alha *$sica 2temporria ou
permanente3% 5ma das *ormas de reali,ar a detec()o desses erros # atra"#s do mLtodo das
2aridades%
Na 4aridade #ongitudinal o acr#scimo de um 1 ou um 0 # *eita na hori,ontal para todos os
bits da mesma linha
Na 4aridade ,ransversal o acr#scimo de um 1 ou 0 # *eita na "ertical para todos os bits da
mesma coluna%
@#todo das 4aridades
#ongitudinais ,ransversais
A 4aridade pode ser 4ar ou Nmpar0
Na 4aridade 4ar o bit de paridade ser 1 ou 0 de *orma que o n4mero de bits 1 seja par%
Na 4aridade Nmpar o bit de paridade ser 1 ou 0 de *orma que o n4mero de bits 1 seja
mpar%
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
*RREJK* DE ERR*5 A,RA6O5 DE '!,5 DE 4AR!DADE 425
9ircuitos 9om#inacionais
1lgumas caracter8sticasMMM

Para se construir um detector de erros de um bit& em 'eral s)o necessrios 2nG138


bit para pala"ras de c-di'o de 2
n
/bit% /s n/bit constituem a pala"ra de c-di'o
eqnuanto que o bit nG1 representa o bit de paridade 2par ou $mpar3?

A detec()o de erros para este tipo de c-di'os 2lon'itudinal O- trans"ersal B n)o


am1os3 s- # pratic"el para erros de A bit%
Arquitectura de Sistemas Computacionais
EVE@PL/0 Suponhamos um n4mero decimal& p% e% CTRGSAFTU& que "ai ser
processado ou transmitido% Antes do processo se iniciar o sistema atri1ui8lhe uma
paridade que "ai "eri*icar no *inal do processo%
D$'ito
Decimal
C-di'o
2N6CD3
Paridade bmpar
C A 9 9 A 1
T 9 9 A A 1
R 9 A A A 0
G 9 A A 9 1
S 9 A 9 A 1
A 9 9 9 A 0
F 9 9 A 9 0
T 9 9 A A 1
U 9 A 9 9 0
Paridade bmpar 0 1 0 1
9ircuitos 9om#inacionais
*RREJK* DE ERR*5 A,RA6O5 DE '!,5 DE 4AR!DADE 465
Arquitectura de Sistemas Computacionais
!R3!,*5 *"'!(A,CR!*5 415
S)o constitu$dos por uma com1ina()o de 'ates AND& /R& N/T& NAND& N/R e V/R onde as
suas sa$das s- dependem do "alor das entradas e se estas dei!arem de estar presentes a sa$da
muda imediatamente%
/s circuitos com1inat-rios que "amos estudar s)o0
8 Geradores de 1it de paridade?
8 Comparadores?
8 Con"ersores de c-di'o?
8 Adicionadores_Su1tractores?
8 Codi*icadores_Descodi*icadores?
8 @ultiple!ers_Demultiple!ers%
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
!R3!,*5 *"'!(A,CR!*5 425
9ircuitos 9om#inacionais
9ircuito Ierador de Bit de +aridade
a
b
a
b
a #
S ( a # SN ( a #
9 9 9 A
9 A A 9
A 9 A 9
A A 9 A
S
c S
b a b a b a S + = =
b a b a b a b a S + = = = c
E?clusive7*R
9I C:8!
5ma porta V/R 2/58e!clusi"o3 # uma porta com duas entradas cuja sa$da # A se uma das
entradas *or A& i% #& uma porta V/R produ, um A na sa$da se o n4mero de Ads na entrada *or
$mpar 2+10ID1DF +103% Caso se trate de uma porta VN/R 2N=/ /58e!clusi"o3 o
resultado # o in"erso& i% #& produ, um A na sa$da quando o n4mero de Ads na entrada *or par
2+10ID1DF A)+103%
Hs% $n de DO5
2 % 1 a igual a s com saId sibilidade $n de 1os =
Arquitectura de Sistemas Computacionais
!R3!,*5 *"'!(A,CR!*5 465
9ircuitos 9om#inacionais
9ircuito Ierador de Bit de +aridade 4contM5
Implementando n portas V/R em cascata& o1t#m8se um circuito com nG1 entradas e uma
sa$da& ori'inando um circuito de 2aridade 8m2ar% Ao in"ertermos essa sa$da& resulta um
circuito de 2aridade 2ar 2"er *i'ura30
I
1
I
2
I
3
I
4
I
n
)a0da 1m2ar
)a0da %ar
/utra *orma de implementar este tipo de circuito& de modo a que seja mais rpido& # em
'03O0F%
Ierador Bit +aridade
9I C:280
Arquitectura de Sistemas Computacionais
!R3!,*5 *"'!(A,CR!*5 4:5
9ircuitos 9om#inacionais
9ircuito Ierador de Bit de +aridade 4contM5
Suponhamos que trasmitimos em paralelo ao lon'o de uma linha e que
pretendemos usar um bit de paridade para detec()o de erros%
D C
S
*
B
9aso +r&tico Sim2li,icado"
Ierador
Detector
Arquitectura de Sistemas Computacionais
9ircuito 9om2arador
a
0
b
0
S
ircuito omparador de + #its@ a
0
e %
0
:
ircuito omparador de + n:meros digitais de H #its@ A Y a
0
a
1
a
+
a
G
e
' Y %
0
%
1
%
+
%
G
:
a
0
b
0
a
1
b
1
a
&
b
&
S
a
2
b
2
9ircuitos 9om#inacionais
!R3!,*5 *"'!(A,CR!*5 4<5
9om2arador :DBit
9I C:8<
Arquitectura de Sistemas Computacionais
9ircuitos 9om#inacionais
9ircuito 9om2arador Iterati7o
!R3!,*5 *"'!(A,CR!*5 4!5
Dois "alores de n/bit podem ser comparados de *orma iterati"a% Para tal tem que se ter em
conta o bit resultante da compara()o anterior 2*i'ura circuito para n/bit3% Para implementar
este tipo de circuitos& 1asta juntar n m-dulos de compara()o de um 4nico bit 2*i'ura m-dulo
para um bit3%
EI
I
EI
/
a b
C@P
Arquitectura de Sistemas Computacionais
a b
S
C
out
9ircuitos 9om#inacionais
)eioDSomador e Somador 9om2leto
!R3!,*5 *"'!(A,CR!*5 4C5
/ somador mais simples& desi'nado por @EI/ S/@AD/R 21B2 Somador B Hal7 *dder3&
soma dois operandos de A bit& resultando um "alor de F bits 2pois o resultado "aria entre 9 e
F3%
a # S
9
out
9 9 9 9
9 A A 9
A 9 A 9
A A 9 A
b a C
b a S
out
=
=
Da ta#ela resulta"
Diagrama Lgico"
S8m#olo Lgico"
C
out
a b
\al*
Adder
S
Arquitectura de Sistemas Computacionais
9ircuitos 9om#inacionais
)eioDSomador e Somador 9om2leto 4contM5
!R3!,*5 *"'!(A,CR!*5 485
Para somar operandos com mais de um bit& temos que produ,ir carries entre as posi(Jes dos
"rios bits& i% #& entre cada posi()o de um bit% / 1loco que reali,a esta opera()o desi'na8se
por S/@AD/R C/@PLET/ 29ull *dder3%
a #
c
in
S
9
out
9 9 9 9 9
9 9 A A 9
9 A 9 A 9
9 A A 9 A
A 9 9 A 9
A 9 A 9 A
A A 9 9 A
A A A A A
b a b a c C
c b a S
in out
in
+ =
=
3 2
Da ta#ela resulta"
a b
S
C
out
c
in
Diagrama Lgico"
S8m#olo Lgico"
C
out
a b
Lull
Adder
S
c
in
Arquitectura de Sistemas Computacionais
9ircuitos 9om#inacionais
Somadores de 0i22le 40i22le 1dders5
!R3!,*5 *"'!(A,CR!*5 4O5
Duas pala"ras 1inrias& cada uma com n/bits& podem ser adicionadas usando um somador
para n/bits% Este # constitu$do por n 7ull adders li'ados em cascata& onde cada um suporta
uma soma de um bit% Este tipo de somadores desi'nam8se por somadores de ri22le ou
ri22le adders%
S
9
a b
LA
c
0
a
0
b
0
C
out
S
A
a b
LA
c
1
a
1
b
1
C
out
S
F
a b
LA
c
2
a
2
b
2
C
out
S
n
a b
LA
c
n
a
n
b
n
C
out
C
nOA
Arquitectura de Sistemas Computacionais
*(6ER5*RE5 415
Estes circuitos tXm por o1jecti"o con"erter c-di'os% Desta *orma o circuito que reali,a
esta con"ers)o # desi'nado de onversor%
digo de origem Entradas
digo de destino 5adas
"apas de DarnaugB
E?press>es mnimas
Diagrama #gico onversor
4rocedimento para realiZa=o de um *(6ER5*R
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
*(6ER5*RE5 425
Projectar um con"ersor de c-di'o VS8T 2E!cess8T3 para o c-di'o N6CD
A% Representa()o do C-di'o de /ri'em e Destino na Ta1ela de Derdade
Fntradas Sa8das
B9D GSD6 NB9D8:21
DF9I)1L I
6
I
2
I
1
I
0
D 9 B 1
9 9 9 A A 9 9 9 9
A 9 A 9 9 9 9 9 A
F 9 A 9 A 9 9 A 9
T 9 A A 9 9 9 A A
U 9 A A A 9 A 9 9
S A 9 9 9 9 A 9 A
G A 9 9 A 9 A A 9
R A 9 A 9 9 A A A
H A 9 A A A 9 9 9
C A A 9 9 A 9 9 A
F% Dos mapas de harnau'h o1tXm8se as e!pressJes0
& 2 & 1 0
& 1 0 2 1 0 2 0
1 0
0
: : : : : D
: : : : : : : : C
: : B
: *
+ =
+ + =
=
=
9ircuitos 9om#inacionais
Fem2lo
Arquitectura de Sistemas Computacionais
*
:
1
:
2
:
&
B
D
C
:
0
T% Implementa()o do Dia'rama L-'ico
9ircuitos 9om#inacionais
*(6ER5*RE5 465
Fem2lo 4contM5
Arquitectura de Sistemas Computacionais
DE5*D!A!AD*RE5 415
As quantidades discretas de in*orma()o podem ser representadas em sistemas di'itais
atra"#s de c-di'os 1inrios% 5m c-di'o 1inrio de n bits # capa, de representar at# 2
n

elementos di*erentes de uma in*orma()o codi*icada& i% #& cada pala"ra de c-di'o na entrada
produ, uma pala"ra de c-di'o di*erente na sa$da% 5m descodificador # um ircuito
om%inatrio que con"erte in*orma()o 1inria desde n linhas de entrada para um
m!imo de 2
n
linhas de sa$da%
Estrutura de um descodificador:
DESC/DILICAD/R
n
Pala"ra de
c-di'o
de entrada
1
Entradas
de Ena1le
m
Pala"ra de
c-di'o
de sa$da
Descodi*icador de n para m
linhas 2o1jecto de estudo3 onde0
n
m 2
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
DE5*D!A!AD*RE5 425
9ircuitos 9om#inacionais
9aracter8sticas gerais"

/ c-di'o de entrada mais *requentemente usado # o c-di'o 1inrio de n/bits& os quais


representam 2
n
c-di'os di*erentes& normalmente inteiros desde 0 at# 2
n
/1?

/ c-di'o de sa$da mais *requente # o 1/out/o7/n& que cont#m n/bits& onde


simplesmente se encontra acti"o um bit de cada "e,%
Descodi,icadores Bin&rios"
Trata8se do descodi*icador mais usual possuindo na sua entrada pala"ras de c-di'o de
n/bits e na sa$da pala"ras de c-di'o do tipo 1/out/o7/2
n
bits%
Fntradas Sa8das
FN
I
1
I
2
J
6
J
2
J
1
J
0
9 ! ! 9 9 9 9
A 9 9 9 9 9 A
A 9 A 9 9 A 9
A A 9 9 A 9 9
A A A A 9 9 9
Descodi,icador de 2 2ara : $lJgica 1ositiva%
Arquitectura de Sistemas Computacionais
DE5*D!A!AD*RE5 465
Descodi,icadores Bin&rios" 4contM5
9ircuitos 9om#inacionais
3N
I
0
I
1
4
0
4
1
4
2
4
3
#5a #ecoder
74"139
1/
1'
1B
2'
2B
2/
14
0
14
1
14
2
14
3
24
0
24
1
24
2
24
3
S8m#olo Lgico $lJgica negativa%
Descodi,icador du2lo de 2 2ara :
9I C:16O
Diagrama Lgico $lJgica 1ositiva%
Arquitectura de Sistemas Computacionais
Fntradas Sa8das
EN A 6 C
n
o
n
A
n
F
n
T
n
U
n
S
n
G
n
R
A ! ! ! A A A A A A A A
9 9 9 9 9 A A A A A A A
9 9 9 A A 9 A A A A A A
9 9 A 9 A A 9 A A A A A
9 9 A A A A A 9 A A A A
9 A 9 9 A A A A 9 A A A
9 A 9 A A A A A A 9 A A
9 A A 9 A A A A A A 9 A
9 A A A A A A A A A A 9
*a#ela de 3erdade do descodi,icador #in&rio;octal $lJgica negativa%
9ircuitos 9om#inacionais
DE5*D!A!AD*RE5 4:5
Fem2lo" Im2lementao de um descodi,icador BIN'0IO D O9*1L
Descodi,icador 6 2ara 8
9I C:168
Arquitectura de Sistemas Computacionais
'
B
C
3N
4
0
4
1
4
2
4
3
4
4
4
5
4
6
4
7
9ircuito Lgico do descodi,icador #in&rio ; octal $lJgica negativa%
9ircuitos 9om#inacionais
DE5*D!A!AD*RE5 4<5
Arquitectura de Sistemas Computacionais
,!4*5 DE !R3!,*5 DFS9ODI%I91DO0FS D!54*(N6E!5 (* "ERAD*
Descodi*icador de 6CD_RSe'mentos 2com drivers30
8 RU!UG_UR_UH_UC%
Descodi*icador 6CD_Decimal0
8 RU!UF_US_AUS%
Descodi*icador U_A9 linhas0
8 RU!UT_UU%
Descodi*icador U_AG linhas0
8 RU!ASU%
Descodi*icador T_H linhas0
8 RU!ATH%
Descodi*icador F! F_U linhas0
8 RU!ATC%
9ircuitos 9om#inacionais
DE5*D!A!AD*RE5 4!5
Arquitectura de Sistemas Computacionais
DE5*D!A!AD*RE5 #!8AD*5 E" A5A,A 4eem2lo5
#ecoder
74.)138
4
0
4
1
4
2
4
3
4
4
4
5
4
6
4
7
/2B
/2'
/1
#ecoder
74.)138
4
0
4
1
4
2
4
3
4
4
4
5
4
6
4
7
/2B
/2'
/1
(
+
CC
'
B
C
'
B
C
'
B
C
#
3N
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
9ircuitos 9om#inacionais
DE5*D!A!AD*RE5 4C5
Arquitectura de Sistemas Computacionais
A4#!AJK* E" !R3!,*5 *"'!(A!*(A!5 4eem2lo5
Implementar& com um descodi*icador RU!ATH& o circuito correspondente [ *un()o0
c b c a c b a c b a 7 + + = 3 & & 2
Im2lementao ; Diagrama Lgico
'
B
C
#ecoder
74"138
/2B
/2'
/1
9ircuitos 9om#inacionais
DE5*D!A!AD*RE5 485
Arquitectura de Sistemas Computacionais
*D!A!AD*RE5 415
5m codi*icador # um ircuito #gico om%inacional que # construido para 'erar um
c-di'o de sa$da 1inrio para n entradas di*erentes de caracteres ou 'rupos de caractres% /
n4mero de bits m necessrios na sa$da do codi*icador tem que satis*a,er a se'uinte rela()o0
n
m
2
FGF)+LO" Im2lementao de um codi,icador O9*1L D BIN'0IO $lJgica 1ositiva%
9ircuitos 9om#inacionais
Fntradas
I
o
I
A
I
F
I
T
I
U
I
S
I
G
I
R
n
F
n
A
n
9
A 9 9 9 9 9 9 9 9 9 9
9 A 9 9 9 9 9 9 9 9 A
9 9 A 9 9 9 9 9 9 A 9
9 9 9 A 9 9 9 9 9 A A
9 9 9 9 A 9 9 9 A 9 9
9 9 9 9 9 A 9 9 A 9 A
9 9 9 9 9 9 A 9 A A 9
9 9 9 9 9 9 9 A A A A
*a#ela de 3erdade
do codi,icador
octalD#in&rio
Arquitectura de Sistemas Computacionais
Diagrama Lgico do codi,icador octalD#in&rio
I
0
I
1
I
2
I
3
I
4
I
5
I
6
I
7
4
2
4
1
4
0
9ircuitos 9om#inacionais
*D!A!AD*RE5 425
FGF)+LO" Im2lementao de um codi,icador O9*1L ; BIN'0IO 4contM5
7 5 3 1 0
: : : : C + + + =
7 6 3 2 1
: : : : C + + + =
7 6 5 4 2
: : : : C + + + =
FHuaPes Lgicas
Em 'eral um codi*icador de 2
n
entradas para n sa$das pode ser implementado com portas
l-'icas *R de 2
n/1
entradas%
Arquitectura de Sistemas Computacionais
*D!A!AD*RE5 465 7 *D!A!AD*R DE 4R!*R!DADE
FGF)+LO" 9odi,icador de +rioridade de : #its $lJgica 1ositiva%
99 9A AA A9
99 ! A A
9A A A A
AA A A A
A9 A A
3 2
: :
1 0
: :
99 9A AA A9
99 ! A A A
9A A A A
AA A A A
A9 A A A
3 2
: :
1 0
: :
3 1
2
: : : * + = 3 2
: : B + =
99 9A AA A9
99 A A A
9A A A A A
AA A A A A
A9 A A A A
3 2
: :
1 0
: :
3 2 1 0
: : : : :D4E + + + =
9ircuitos 9om#inacionais
Fntradas Sa8das
FN I
0
I
1
I
2
I
6
B 1 IDLF
9 ! ! ! ! 9 9 9
A A 9 9 9 9 9 A
A ! A 9 9 9 A A
A ! ! A 9 A 9 A
A ! ! ! A A A A
*a#ela de 3erdade
Arquitectura de Sistemas Computacionais
I
0
I
1
I
2
I
3
A
B
IDLE
Diagrama Lgico
*D!A!AD*RE5 465 7 *D!A!AD*R DE 4R!*R!DADE
FGF)+LO" 9odi,icador de +rioridade de : #its $lJgica 1ositiva%
9ircuitos 9om#inacionais
9odi,icador +rioridade de 8Dentradas
9I C:1:8
Arquitectura de Sistemas Computacionais
@5LTIPLEVERS
A "ultiple?agem consiste em transmitir um 'rande n4mero de unidades de in*orma()o
atra"#s de um pequeno n4mero de linhas ou canais de transmiss)o%
5m multiple!er di'ital # um circuito com1inat-rio que selecciona a in*orma()o 1inria de
uma das "rias linhas de entrada e direcciona8as para uma 4nica linha de sa$da%
A selec()o de uma determinada linha # e*ectuada atra"#s de um conjunto de linhas de
selec()o ou de endere(o%
,5"
3na6e
#
0
#
1
#
n71
6
6
6
6
4
s
)eec8$o
1#
0
1#
1
1#
n71
14
2#
0
2#
1
2#
n71
24
6#
0
6#
1
6#
n71
64
)eec8$o 3na6e
Fntradas e Sa8das do muM FsHuema %uncional do muM
Fstrutura de um )ulti2leer 4muM5
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
@5LTIPLEVERS 2Implementa()o3
S Sa$das
9
n K D
9
A
n K D
A
*a#ela de 3erdade
)
)eec8$o
#
1
#
0
) # ) # 4
0 1
+ =
Nota0 Implemente um circuito multi1lexer de U para A 2mu! U0A3
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
APLICA<;ES D/S @5LTIPLEVERS
/s multi1lexers apresentam di"ersas aplica(Jes entre as quais se destacam0
8 Geradores de *un(Jes%
8 Con"ers)o paralelo8s#rie%
8 Geradores de *ormas de onda%
8 Direccionamento de dados%
GERAD/RES DE L5N<;ES
/s multi1lexers podem ser usados para implementar *un(Jes l-'icas directamente da ta1ela
de "erdade sem recorrer a simpli*ica(Jes% Iuando usado com esta *inalidade& [s entradas de
selec()o s)o aplicadas as "ari"eis l-'icas do circuito e cada uma das entradas # li'ada
permanentemente a 0 ou 1%
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
@5LTIPLEVER C/@/ GERAD/R DE L5N<;ES 2e!emplo3
,a%ela de 6erdade:
a # c %
9 9 9 9
9 9 A 9
9 A 9 A
9 A A A
A 9 9 9
A 9 A 9
A A 9 A
A A A 9
,5"
891
4
0
'
4
1
4
2
4
3
4
4
4
5
4
6
4
7
B
C
+
CC
c 6 a c 6 a c 6 a 4 + + =

= 3 & & 2 3 & & 2 6 3 2 m c 6 a &


9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
@5LTIPLEVER C/@/ GERAD/R DE L5N<;ES 2e!erc$cios3
A% Implementar a *un()o utili,ando0
a3 5m multi1lexer de H0A onde as "ari"eis de endere(o s)o D e C%
13 5m multi1lexer de AG0A%
F% Implementar a *un()o utili,ando0
a3 5m multi1lexer de H0A onde as "ari"eis de endere(o s)o C& 6 e A%
13 5m multi1lexer de U0A onde as "ari"eis de endere(o s)o C e D%
T% Implementar a *un()o utili,ando0
a3 5m multi1lexer de U0A onde as "ari"eis de endere(o s)o C e D%

= 3 & & & & & & & & 2 m 3 d & c & 1 & a 2 L 15 14 12 9 6 5 4 1 0

= 3 & & & & & & & & 2 m 3 d & c & 1 & a 2 L 15 14 13 12 10 7 6 4 1

= 3 & & & & & 2 m 3 d & c & 1 & a 2 L 14 11 10 9 8 6


9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
@5LTIPLEVER C/@/ C/NDERS/R PARALEL/8SMRIE 2e!emplo3
,5"
891
4
0
4
1
4
2
4
3
4
4
4
5
4
6
4
7
' B C
:Contador;
(e<isto de
arma=enamento
:8 6its;
4
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
DE@5LTIPLEVER
#em5"
4
s
)eec8$o
6
#
n71
6
#
0
#
1
6
6
I
n71
I
0
I
1
3na6e
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
DE@5LTIPLEVER ATRADMS DE DESC/DILICAD/RES
#ecoder
74.)138
4
0
4
1
4
2
4
3
4
4
4
5
4
6
4
7
/2B
/2'
/1
'
B
C
+
CC
1
0
1
Demulti1lexer usando o descodi*icador RULSATH& onde *unciona como entrada de
in*orma()o%
A G2
A G2
5
n
Restantes
Sa$das
L-'ica A
Nota0 / descodi*icador RU!ASU 2descodi*icador de U para AG linhas3 # tam1#m usado como
demulti1lexer de A0AG%
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
TRANS@ISS=/ DE DAD/S
Conju'ando um multi1lexer e um demulti1lexer& podemos esta1elecer a li'a()o atra"#s de
um 1us entre "rias entradas e "rias sa$das% Isso # reali,ado da se'uinte *orma0
@5V DE@5V
6
6
I
0
I
1
6
I
n71
s
)eec8$o
s
)eec8$o
6
#
n71
6
6
#
0
#
1
6
9ircuitos 9om#inacionais
Arquitectura de Sistemas Computacionais
9ircuitos SeHuenciais
6
Arquitectura de Sistemas Computacionais
LLIP B LL/PS
LGICA om%inatria LGICA 5equencial
Sa$das dependem
unicamente do "alor
instant>neo dos
di"ersos sinais de
entrada%
Sa$da depende n)o s- do "alor
instant>neo dos sinais de entrada
mas tam1#m do estado pr#"io
dos elementos l-'icos que
constituem o circuito%
A#!4 U A#*45
A#!4 U A#*45 U Circuito 1i8est"el% Circuito memori,ador ou arma,enador da in*orma()o
rece1ida% Sinal mant#m8se enquanto um sinal e!terior n)o su1stituir a
in*orma()o arma,enada%
Alip 7 Alops a estudar0
m RS%
m RST 2RS sincroni,ado ou cloc.ed3%
m D ou latc<%
m T ou toggle%
m eh%
m eh master/slave%
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P RS
LLIP8LL/P 0S BPossui duas entradas& S8Set e R8Reset& e duas sa$das& I e o seu
complemento%
Pode ser implementado com portas N/R ou NAND%
N/R B / +disparo. ocorre no *lanco ascendente%
NAND B / +disparo. ocorre no *lanco descendente 2apresenta dois c$rculos
na entrada3%
APLICA<=/0 Circuito +Contact/Bounce Eliminator.& i%#& e"ita o e*eito transit-rio na
tens)o%
I
I
S
R
I
I
R
S
Q
Q )
(
Q
Q )
(
%li2D%lo2 0S com NO0Ns e N1NDNS S8m#olo do %li2D%lo2 0S
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
CO-6*C6 BOE-CE E4:2:-*6O5
(
(
>+
>+
)
2
1
(
(
>+
>+
2
1
I
I
9ircuito normal F,eito transitrio da tenso
+
)
9ontactDBounce Fliminator
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P RST
I
I
Ca
R
S
Q
Q )
(
C
Este LL n)o # mais do que um LL RS com uma terceira entrada de cloca que "ai permitir ou
ini1ir o *uncionamento do LL con*orme esti"er ou n)o presente o impulso de cloca%
%li2D%lo2 0S*Q im2lementado com N1NDNs S8m#olo do %li2D%lo2 0S*
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P TIP/ D /5 LATC\
Este LL n)o # mais do que um LL RST onde as entradas RS est)o li'adas a uma 4nica
entrada D 2DATA3% Esta # aplicada directamente numa das 'ates e in"ersamente na outra%
Ca
D
I
I
Ca
D
I
I
S
R
Q
Q
)3*
C.(
#
C
Q
Q #
C
%li2D%lo2 D
S8m#olo do %li2D%lo2 D %li2D%lo2 DQ com Set e 9lear 40eset5
Q
Q
)3*
C.(
#
C
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
%li2D%lo2 * S8m#olo do %li2D%lo2 *
LLIPBLL/P TIP/ T /5 T/GGLE
Este LL tem s- uma entrada e!terior 2T3 sendo& as outras& realimenta(Jes das sa$das de I e o
seu complemento% Por tal moti"o& s)o necessrios dois circuitos de atraso 2delai3 para e"itar
que as realimenta(Jes mudem de estado enquanto T permanecer no seu estado A%
A sa$da deste LL pode ser"ir para0 contar impulsos? ser"ir como divisor $scaler& 2na
medida em que s)o necessrios F impulsos de entrada para A impulso de sa$da 2TFKFTA33?
ser"ir& tam1#m& como contador 1inrio%
I
I
T
R
S
Q
Q
)3*
C.(
*
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P eh
Tal como o LL 6oggle& e!istem realimenta(Jes das sa$das I e do seu complemento& cujas
mudan(as n)o de"em inter*erir no *uncionamento%
Q
Q )
(
e
a
Ca
?
Q
Q
@
C
%li2D%lo2 KR S8m#olo do %li2D%lo2 KR
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
I
I
Ca
e
a
R
S
@aster Sla"e
LLIPBLL/P eh master/slave
Esta situa()o le"ou [ concep()o de um no"o tipo denominado XD masterDsla7e& cuja
*inalidade # introdu,ir um atraso entre a entrada e a sa$da& de *orma a eliminar essa
inter*erXncia%
A ra,)o do nome masterDsla7e resulta de o se'undo LL estar condicionado ao primeiro%
%li2D%lo2 KR masterDsla7e
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P RS
4rincpio de funcionamento:
A% As duas entradas est)o normalmente a ,ero& podendo o LL estar com as sa$das num estado
qualquer& i%#& estado Reset 2IK9 e wIKA3 ou estado Set 2IKA e wIK93%
F% Aplicando um sinal um [ entrada Reset o LL # condu,ido sempre ao estado Reset% Se ele
esti"er pre"iamente nesse estado permanece nele%
T% Aplicando um sinal um [ entrada Set o LL # condu,ido sempre ao estado Set% Se ele
esti"er pre"iamente nesse estado permanece nele%
U% Aplicando simultaneamente sinais Set e Reset nas entradas& o LL cai num estado de
indetermina()o% De"e ser e"itada esta situa()oZ
%li2D%lo2 0S
I
I
S
R
Q
Q )
(
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P RS 2cont%3
Este princ$pio de *uncionamento tradu,8se na se'uinte ta1ela%
*a#ela Sim2li,icada do %% 0S
Casos
Sa$das
Entrada
s
Sa$das
/1ser"a(Jes
Condi(Jes
Iniciais
Condi(Jes
Linais
I wI R S I wI
A 9 A 9 9 9 A N)o muda
F 9 9 9 A 9 A N)o muda
T 9 A A 9 A 9 @uda de 5eset para Set
U 9 9 A A Indeterminado
S A A 9 9 A 9 N)o muda
G A 9 9 A 9 A @uda de Set para 5eset
R A A A 9 A 9 N)o muda
H A 9 A A Indeterminado
S R InOA
9 9 In
9 A 9
A 9 A
A A V
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P RS 2cont%3
/ *uncionamento do LL tam1#m se pode o1ser"ar pelo dia'rama de impulsos0
0
S
0
R
0
I
0
I
Nota0 / *uncionamento do LL # idXntico quando implementado com NANDdsZ
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P RST
As Aates C e D ser"em para que o Cloc. Ch quando presente& dei!e passar o sinal S ou R%
As Aates A e 6 constituem o LL RS propriamente dito%
/ impulso de cloc. Ch *unciona como trinco 2latc<3 que a1re ou *echa as 'ates de controle&
C e D%
Continua a e!istir um estado indeterminadoZ
/ LL comporta8se de i'ual *orma ao RS desde que haja sinal de cloc.%
I
I
Ca
R
S
C
A
6
D
Entradas Sa$das
S R Ca I wI
9 9 9 N)o actua
9 9 A N)o actua
9 A 9 N)o actua
9 A A 9 A
A 9 9 N)o actua
A 9 A A 9
A A 9 N)o actua
A A A Indeterminado
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P D ou 4*6CH
Este LL tem em rela()o aos anteriores a "anta'em de eliminar o estado indeterminado% Isso
conse'ue8se li'ando as entradas RS a uma 4nica entrada D 2DATA3% A qual # aplicada
directamente numa das 'ates e in"ersamente na outra%
Este LL& al#m da "anta'em acima descrita em rela()o [s anteriores& d8nos oportunidade de
a"an(ar para outros processos& utili,ando mais al'umas altera(Jes em rela()o ao esquema
1sico& com o o1jecti"o de eliminar al'uns incon"enientes que ainda su1sistem neste tipo%
Ca
D
I
I
ENTRADAS SAbDAS
Data locQ V
9 9 N)o @uda
9 A 9
A 9 N)o @uda
A A A
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P D ou 4*6CH
Na ,ona A aparece o que *oi descrito na ta1ela de "erdade& i%#& o n$"el na entrada D aparece
na sa$da I a partir do impulso se'uinte do cloc. com um certo atraso 6 de"ido ao n$"el 1 da
entrada D ter sur'ido antes desse impulso de cloc.% Lunciona como um trinco 2latc<3 que
a1re le"ando a sa$da I ao n$"el da entrada D%
Na ,ona F est representada uma situa()o incon"eniente& i%#& durante todo o patamar em que
o impulso de cloc. # A a sa$da pode "ariar desde que "arie a entrada%
A *requXncia de cloc. # in*erior [ da entrada de D% Em determinados contadores& isso
e!i'iria criar uma situa()o de compromisso entre o impulso de cloc. e o sinal de entrada
para n)o se dar a tal situa()o que ocorre na ,ona F%
Ca
D
I
6
1
2
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P D ou 4*6CH2cont%3
Loi criado dentro do mesmo tipo um circuito mais comple!o& para colmatar a situa()o
anteriormente descrita& denominado de Fdge *riggered DDt?2e %%& i%#& LL tipo D
disparando unicamente ou no *lanco ascendente ou no descendente do impulso de cloc.%
Auncionamento:
Condi(Jes iniciais& CaK9& DKA e LL no estado 5eset%
Iuando aparece um impulso de cloc.& a sa$da da gate 6 "ai para 0& *a,endo com que o LL
RS constituido pelas gates E e L " para estado Set% Se a entrada D "ai para 0 durante o
tempo em que o Ca ainda # 1& a sa$da da gate D "ai para 1% Isto n)o causa e*eito na sa$da do
LL uma "e, que a gate C est ini1ida pela sa$da da gate 6%
Iuando o cloc. por seu turno *or 0 a sa$da 6 "ai para 1 mas C # a'ora ini1ida pela *alta de
cloc.& dei!ando assim a sa$da do LL no estado de Set& sem altera()o%
I
I
D
Ca
A
6
C
D
L
E
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P T ou 6OAA4E
Auncionamento:
Inicialmente o LL est no estado 5eset 2IK9 e wIKA3%
Ao aplicamos um impulso A [ entrada T& a porta NAND A a1re& dando uma sa$da 0% Ap-s
um certo atraso o LL # acti"ado e passa ao estado Set%
A gate 6 *ica preparada a actuar ap-s a recep()o do pr-!imo impulso que condu,ir [
situa()o inicial& ou seja& 5eset%
Aplica=o:
Como *oi *alado anteriormente& a sa$da deste LL pode ser"ir para contar impulsos ou ser"ir
como di"isor 2scaler3& na medida em que s)o necessrios F impulsos de entrada para A
impulso de sa$da 2TFKFTA3% Pode ser"ir tam1#m como contador 1inrio pois a sua sa$da #
alternadamente 9& A& 9& A& 9& A&%%%
I
I
T
R
S
6 6 6 6
2
T
1
T
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P eh
Este LL # o mais utili,ado em circuitos l-'icos de"ido a ser aquele que al#m de n)o ter
estado indeterminado& tem mais possi1ilidades de *uncionamento& uma "e, que tem F
entradas 2e e h3 al#m de um cloc.%
Para compreender o seu funcionamento 1sico aperce1amo8nos das se'uintes condi(Jes0
mS- *unciona com impulso de cloc.%
mSe am1as as entradas *orem i'uais a 0& o LL n)o muda de estado%
mSe am1as as entradas *orem i'uais a 1 o LL *unciona como o LL 6oggle 2muda
sempre de estado3%
mSe as entradas *orem i'uais [s saidas& o LL n)o muda de estado%
mSe as entradas *orem di*erentes das sa$das& o LL muda de estado complementarmente&
*icando com as entradas i'uais [s entradas%
?
Q
Q
@
C
1 0 1 0 1 0 _ oo _ oo oo
0 1 0 1 1 0 _ oo _ oo oo
1 0 0 1 1 0 0 1 0 0 _ oo _ oo oo
0 1 1 0 0 1 1 0 1 1 _ oo _ oo oo
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P eh
Pelo esquema # *cil o1ser"ar o *uncionamento anteriormente descrito0
A% Iuando eK9 e hK9 as portas A e 6 est)o 1loqueadas 2A e 6 s)o ANDdsZZ3%
F% Iuando eKhKA& as portas A e 6 est)o des1loqueadas& o LL *unciona como 6oggle e
Alterna de estado consoante o cloc.%
T% Iuando eK9 e hKA ou "ice8"ersa& A ou 6 est)o 1loqueadas% Lunciona como o LL RS&
pois as sa$das dos ANDds s)o aplicadas directamente [s entradas do LL RS%
Q
Q )
(
e
a
Ca
%li2D%lo2 KR
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
LLIPBLL/P eh master/slave
Esta monta'em # constituida por dois LL RS& no primeiro dos quais o cloca actua
directamente 2*lanco ascendente3 e no se'undo in"ersamente 2*lanco descendente3% Portanto&
a sa$da do primeiro LL 2master3 "ai ser transmitida ao se'undo LL 2slave3 no *lanco
descendente do impulso de cloc.& o que implica di,er ha"er um atraso i'ual [ dura()o do
cloc.% Este atraso elimina os e*eitos da realimenta()o so1re a entrada& [ semelhan(a da
introdu()o dos delays no LL 6oggle%
%li2D%lo2 KR masterDsla7e
I
I
Ca
e
a
R
S
@aster Sla"e
A
6 D
C
E
L \
G
9ircuitos SeHuenciais
A ra,)o do nome master/slave resulta de o se'undo LL estar condicionado ao primeiro%
ENTRADAS SAbDAS
X D V
n;1
9 9 I
n
9 A 9
A 9 A
A A wI
n
*a#ela de 7erdade do %% KR
Arquitectura de Sistemas Computacionais
LLIPBLL/P eh master/slave
Luncionamento do LL eh master/slave atra"#s do dia'rama de sinais0
6 6 6
e
h
Ca
CD
I
Ca
G\
I
1
1
0
0
0
1
1
0
Como se pode "eri*icar e!iste um atraso 6 entre as sa$das I
G\
e I
CD
i'ual [ dura()o do
impulso de cloc.%
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
CIRC5IT/S SEI5ENCIAIS
/s circuitos que ")o ser o1jecto de estudo ")o ser0
m Contadores%
m Di"isores de *requXncia 2scalers3%
*(,AD*RE50
Estes dispositi"os tXm como o1jecti"o reali,ar "rios tipos de conta'em como0 tempo 2como
um rel-'io di'ital3& tempori,a()o ou sincronismo das opera(Jes de um sistema comple!o&
calculadores& computadores& etc%%%
Tipos principais de *(,AD*RE50
m Assincronos ou de RIPPLE%
m S$ncronos%
9ircuitos SeHuenciais
Arquitectura de Sistemas Computacionais
7
9ircuitos SeHuenciais
1ss8ncronos
Arquitectura de Sistemas Computacionais
9ircuitos SeHuenciais 1ss8ncronos
C/NTAD/RES ASSbNCR/N/S
Nos contadores ass$ncronos a sa$da do primeiro LL li'a [ entrada do se'undo e assim
sucessi"amente%
Di,em8se ass$ncronos porque os "rios LLds n)o comutam em sincronismo com o cloca mas
sim com um atraso de um LL para o se'uinte%
Q
Q
C.(
*
Ca
Q
Q
C.(
*
Q
Q
C.(
*
R
A 6 C
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
R
Ca
A 6 C
1
1
1
1
1
1
9ontador 1ss8ncrono im2lementado com %% ti2o *
9ontador 1ss8ncrono im2lementado com %% ti2o KR
Arquitectura de Sistemas Computacionais
9ircuitos SeHuenciais 1ss8ncronos
C/NTAD/RES ASSbNCR/N/S
Dia'rama de sinais dos contadores ass$ncronos implementados com LL tipo T e LL tipo eh%
1
1
1
1
1 1 1
1 1 1 1
1 1 1
1
0 0 0 0
0 0 0 0
0 0 0 0
1
1
0
Ca
A
6
C
Ca
0
0
0
1
0
0
1
0
0
1
1
0
1
0
0
1
1
0 1
1
0 1
1
1
0
0
0
1
0
0
A
6
C
Diagrama de sinais do contador ass8ncrono im2lementado com %% ti2o *
Diagrama de sinais do contador ass8ncrono im2lementado com %% ti2o KR
Arquitectura de Sistemas Computacionais
9ircuitos SeHuenciais 1ss8ncronos
DESEN\/ DE C/NTAD/RES ASSbNCR/N/S
/ processo a se'uir para implementar um contador ass$ncrono # o se'uinte0
m Determinar o n4mero de LLds a utili,ar%
m Li'ar a sa$da de cada LL ao cloca do LL se'uinte%
m / reset do contador ser *eito atra"#s das sa$das que ter)o n$"el l-'ico A no m-dulo
pretendido%
E!emplo0 Implementar um contador de m-dulo S%
Ponto A0 o n4mero de LL a usar # i'ual a T% Pois& F
F
v S v F
T
T LL`s%
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
R
Ca
A 6 C
1
1
1
1
1
1
Ponto F e T%
Arquitectura de Sistemas Computacionais
E!erc$cios0
A% Implemente& usando LLds do tipo eh& um contador ass$ncrono que *a(a uma conta'em de
9 a T%
F% Implemente um contador& usando LLds do tipo eh& um contador ass$ncrono de m-dulo AF%
T% Reali,e os pontos A e F usando LLds do tipo T e D0
9ircuitos SeHuenciais 1ss8ncronos
DESEN\/ DE C/NTAD/RES ASSbNCR/N/S
Arquitectura de Sistemas Computacionais
8
9ircuitos SeHuenciais S8ncronos
Arquitectura de Sistemas Computacionais
9ircuitos SeHuenciais S8ncronos
C/NTAD/RES SbNCR/N/S
*(,AD*R 5N(R*(* 4R*8RE55!6*:
A anlise dos contadores s$ncronos torna8se mais comple!a que a dos ass$ncronos& da$ se
optar pelo m#todo 1aseado na aplica()o da ta1ela de "erdade% Para tal procedemos da
se'uinte *orma0
mAs entradas e e h de cada LL podem representar8se so1 a *orma de uma e!press)o
l-'ica%
mEssas e!pressJes l-'icas s)o normalmente *un()o das sa$das dos "rios LLds%
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
R
Ca
A 6 C
1
1
6 A h %
6 A e %
A h %
A e %
h %
e %
C
C
6
6
A
A
=
=
=
=
=
=






6
5
4
3
1 2
1 1
Arquitectura de Sistemas Computacionais
9ircuitos SeHuenciais S8ncronos
C/NTAD/RES SbNCR/N/S
Ta1ela de "erdade do *uncionamento do contador s$ncrono pro'ressi"o0
SAbDAS ENTRADAS
C 6 A
e
A
h
A
e
6
h
6
e
C
h
C
A A A A A%6 A%6
Reset 9 9 9
A A 9 9 9 9
A^ cloca 9 9 A
A A A A 9 9
F^ cloca 9 A 9
A A 9 9 9 9
T^ cloca 9 A A
A A A A A A
U^ cloca A 9 9
A A 9 9 9 9
S^ cloca A 9 A
A A A A 9 9
G^ cloca A A 9
A A 9 9 9 9
R^ cloca A A A
A A A A A A
H^ cloca 9 9 9
A A 9 9 9 9
@#todo para constru()o da Ta1ela
de "erdade0
A%Di"idir a ta1ela em dois 'rupos0
Entradas e Sa$das%
F%Colocar no 'rupo das entradas& e
A
&
h
A
& e
6
& etc& com as respecti"as
e!pressJes%
T%Nas sa$das colocam8se as letras A&
6& C relati"as [s sa$das I dos LLds%
Arquitectura de Sistemas Computacionais
9ircuitos SeHuenciais 1ss8ncronos
C/NTAD/RES ASSbNCR/N/S
Dia'rama de sinais do contador 5ncrono pro'ressi"o0
Ca
0
0
0
1
0
0
1
0
0
1
1
0
1
0
0
1
1
0 1
1
0 1
1
1
0
0
0
1
0
0
A
6
C
R
Diagrama de sinais do contador s8ncrono 2rogressi7o im2lementado com %% ti2o KR
Arquitectura de Sistemas Computacionais
*(,AD*R 5N(R*(* RE8RE55!6*:
Procedendo da mesma *orma de anlise que para o contador s$ncrono pro'ressi"o temos0
9ircuitos SeHuenciais S8ncronos
C/NTAD/RES SbNCR/N/S
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
R
Ca
A 6 C
1
1
9ontador s8ncrono regrassi7o im2lementado com %% ti2o KR
6 A h A h h
6 A e A e e
C 6 A
C 6 A
= = =
= = =


1
1
Comecemos por escre"er as e!pressJes das "rias entradas a partir do esquema0
Arquitectura de Sistemas Computacionais
9ircuitos SeHuenciais S8ncronos
C/NTAD/RES SbNCR/N/S
Ta1ela de "erdade do *uncionamento do contador s$ncrono re'ressi"o0
SAbDAS ENTRADAS
C 6 A
e
A
h
A
e
6
h
6
e
C
h
C
A A
Reset 9 9 9
A A A A A A
A^ cloca A A A
A A 9 9 9 9
F^ cloca A A 9
A A A A 9 9
T^ cloca A 9 A
A A 9 9 9 9
U^ cloca A 9 9
A A A A A A
S^ cloca 9 A A
A A 9 9 9 9
G^ cloca 9 A 9
A A A A 9 9
R^ cloca 9 9 A
A A 9 9 9 9
H^ cloca 9 9 9
A A A A A A
6 A 6 A A A
Arquitectura de Sistemas Computacionais
9ircuitos SeHuenciais 1ss8ncronos
C/NTAD/RES ASSbNCR/N/S
Dia'rama de sinais do contador 5ncrono re'ressi"o0
Diagrama de sinais do contador s8ncrono regressi7o im2lementado com %% ti2o KR
1
1
1
1
1 1 1
1 1 1 1
1 1 1
1
0 0 0 0
0 0 0 0
0 0 0 0
A
6
C
Ca
R
Arquitectura de Sistemas Computacionais
9ircuitos SeHuenciais S8ncronos
C/NTAD/RES SbNCR/N/S
*(,AD*R 5N(R*(* RE6ER5N6E#:
Trata8se de um contador que pode contar quer no sentido ascendente quer no sentido
descendente%
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
R
Ca
A 6 C
1
1
CD
ount
Direction
e
6
h
6
e
C
h
C
0 2crescente3 A A A%6 A%6 A1re I e III
1 2decrescente3
A1re II e
ID
6 A 6 A A
I
II
III
ID
A
Arquitectura de Sistemas Computacionais
DESEN\/ DE C/NTAD/RES SbNCR/N/S
9ircuitos SeHuenciais S8ncronos
/ processo a se'uir para implementar um contador s$ncrono # o se'uinte0
mDeterminar o n4mero de LLds a utili,ar?
mDesenhar a ta1ela de "erdade das sa$das de acordo com o c-di'o de conta'em
pretendido?
mDesenhar o mapa de harnau'h relati"amente [s sa$das do contador& utili,ando a ta1ela
de "erdade j constru$da& na *ase anterior& como au!iliar?
mRetirar as e!pressJes para todas as sa$das e e h?
mImplementar o circuito do contador s$ncrono%
Arquitectura de Sistemas Computacionais
E?emplo0 Construir um contador para o c-di'o 6CD8VST%
m N4mero de LLds a utili,ar0
DESEN\/ DE C/NTAD/RES SbNCR/N/S 2cont%3
9ircuitos SeHuenciais S8ncronos
/ n4mero de LL a usar # i'ual a U% Pois& o c-di'o necessita de pelo menos U 1its para
representar os A9 di'itos 29 B C3% Assim& F
T
KH v A9 v F
U
KAG U LL`s%
m Desenhar a ta1ela de "erdade das sa$das de acordo com o c-di'o de conta'em
pretendido%
S1AD1S
Fstado 1ctual Fstado Seguinte
Decimal D ' A D ' A Decimal
T 9 9 A A 9 A 9 9 U
U 9 A 9 9 9 A 9 A S
S 9 A 9 A 9 A A 9 G
G 9 A A 9 9 A A A R
R 9 A A A A 9 9 9 H
H A 9 9 9 A 9 9 A C
C A 9 9 A A 9 A 9 A9
A9 A 9 A 9 A 9 A A AA
AA A 9 A A A A 9 9 AF
AF A A 9 9 9 9 A A 9
9 x 9 9 A A
A x 9 A 9 9
F x 9 A 9 A
T x 9 A A 9
U x 9 A A A
S x A 9 9 9
G x A 9 9 A
R x A 9 A 9
H x A 9 A A
C x A A 9 9
Arquitectura de Sistemas Computacionais
DESEN\/ DE C/NTAD/RES SbNCR/N/S 2cont%3
9ircuitos SeHuenciais S8ncronos
m Desenhar o mapa de harnau'h relati"amente [s sa$das do contador& utili,ando a ta1ela
de "erdade j constru$da& na *ase anterior& como au!iliar%
99 9A AA A9
99 T
9A U S R G
AA AF
A9 H C AA A9
6A
DC
/s espa(os em 1ranco& ser)o +DonKt Care Conditions. 2V3 e correspondem aos c-di'os
ile'$timos deste contador%
Arquitectura de Sistemas Computacionais
DESEN\/ DE C/NTAD/RES SbNCR/N/S 2cont%3
9ircuitos SeHuenciais S8ncronos
m Desenhar mapas de harnau'h para as entradas e e h do total de LLds a utili,ar%
A ta1ela de e!cita()o do LL eh # a se'uinte0
Estado do LL
Estado das
Entradas
Condi()o do LL
Antes Depois e h
9 9 9 V N)o @udou ou 5eset
9 A A V @udou ou Set
A 9 V A @udou ou 5eset
A A V 9 N)o @udou ou Set
Arquitectura de Sistemas Computacionais
DESEN\/ DE C/NTAD/RES SbNCR/N/S 2cont%3
9ircuitos SeHuenciais S8ncronos
m Desenhar mapas de harnau'h para as entradas e e h do total de LLds a utili,ar%
De acordo com a ta1ela de e!cita()o constroi8se no"a ta1ela de "erdade& a'ora com as entradas
e e h%
S1AD1S FN*01D1S
Fstado 1ctual Fstado Seguinte
K
D
R
D
K
9
R
9
K
B
R
B
K
1
R
1
D ' A D ' A
9 9 A A 9 A 9 9 9 V A V V A V A
9 A 9 9 9 A 9 A 9 V V 9 9 V A V
9 A 9 A 9 A A 9 9 V V 9 A V V A
9 A A 9 9 A A A 9 V V 9 V 9 A V
9 A A A A 9 9 9 A V V A V A V A
A 9 9 9 A 9 9 A V 9 9 V 9 V A V
A 9 9 A A 9 A 9 V 9 9 V A V V A
A 9 A 9 A 9 A A V 9 9 V V 9 A V
A 9 A A A A 9 9 V 9 A V V A V A
A A 9 9 9 9 A A V A V A A V A V
1
1
=
=
=
+ =
+ =
=
=
=
A
A
6
6
C
C
D
D
h
e
A h
A C D e
D A 6 h
A 6 e
C h
A 6 C e
Arquitectura de Sistemas Computacionais
DESEN\/ DE C/NTAD/RES SbNCR/N/S 2cont%3
9ircuitos SeHuenciais S8ncronos
m Implementa()o do circuito contador s$ncrono%
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
?
Q
Q
@
)3*
C.(
C
R
Ca
D C 6
?
Q
Q
@
)3*
C.(
C
A
1
1
E!erc$cio0
A% Implemente& usando LLds do tipo D& T e S8R o contador s$ncrono atrs reali,ado com LLds
eh%
Arquitectura de Sistemas Computacionais
DESEN\/ DE C/NTAD/RES SbNCR/N/S 2cont%3
9ircuitos SeHuenciais S8ncronos
Ta1elas de e!cita()o para outros LLds0
Estado do LL
Estado das
Entradas
Antes Depois S R
9 9 9 V
9 A A 9
A 9 9 A
A A V 9
Estado do LL
Estado das
Entradas
Antes Depois D
9 9 9
9 A A
A 9 9
A A A
Estado do LL
Estado das
Entradas
Antes Depois T
9 9 9
9 A A
A 9 A
A A 9
%li2D%lo2 SD0 %li2D%lo2 D
%li2D%lo2 *

Você também pode gostar