Você está na página 1de 218

Centro de Tecnologia e Urbanismo

Departamento de Engenharia Eltrica


Programa de Mestrado em Engenharia Eltrica

NO-BREAK 1,2KVA, SENOIDAL, OPERANDO EM


MALHA FECHADA: CIRCUITO DE POTNCIA,
CIRCUITO DE CONTROLE ANALGICO E CIRCUITO DE
CONTROLE DIGITAL COM DSC

GILSON JUNIOR SCHIAVON


Dissertao de Mestrado em Engenharia eltrica
rea de Concentrao: Eletrnica de Potncia
Orientador(a): Prof. Dr. Carlos Henrique Gonalves Treviso
Londrina, 17 dezembro de 2007

UNIVERSIDADE ESTADUAL DE LONDRINA


DEPARTAMENTO DE ENGENHARIA ELTRICA

NO-BREAK 1,2KVA, SENOIDAL, OPERANDO EM MALHA


FECHADA: CIRCUITO DE POTNCIA, CIRCUITO DE

CONTROLE ANALGICO E CIRCUITO DE CONTROLE


DIGITAL COM DSC

Dissertao submetida ao Departamento


de Engenharia Eltrica da Universidade
Estadual de Londrina, para
preenchimento dos pr-requisitos para
obteno do ttulo de Mestre em
Engenharia Eltrica.

GILSON JUNIOR SCHIAVON

Londrina, 17 dezembro de 2007

NO-BREAK 1,2KVA, SENOIDAL, OPERANDO EM MALHA


FECHADA: CIRCUITO DE POTNCIA, CIRCUITO DE

CONTROLE ANALGICO E CIRCUITO DE CONTROLE


DIGITAL COM DSC

GILSON JUNIOR SCHIAVON

_________________________________
Prof. Dr. Carlos Henrique Gonalves Treviso UEL
Universidade Estadual de Londrina
Orientador
Comisso Examinadora:
_________________________________
Prof. Dr. Carlos Henrique Gonalves Treviso - UEL
Universidade Estadual de Londrina

_________________________________
Prof. Dr. Silvia Galvo de Souza Cervantes - UEL
Universidade Estadual de Londrina

_________________________________
Prof. Dr. Joo Batista Vieira Jnior - UFU
Universidade Federal de Uberlndia

AGRADECIMENTOS

Agradeo a todos os professores do departamento de engenharia eltrica da


Universidade Estadual de Londrina por terem contribudo de forma direta ou indireta
em mais esta etapa de minha formao, o que me proporcionou um conhecimento
mais aprofundado sobre os contedos relacionados a engenharia eltrica.
Aos professores Dr. Joo Batista Vieira Jnior (UFU) e Dr. Silvia Galvo de
Souza Cervantes (UEL) por aceitarem o convite de participar da comisso
examinadora deste trabalho.
Ao professor Dr. Carlos Henrique Gonalves Treviso por ter me orientado com
muita calma e dedicao no desenvolvimento deste trabalho.
Aos colegas de turma no qual tive uma tima convivncia e troca de
conhecimentos no decorrer do curso.
Ao tecnlogo Jos Junior Calin de Pierri e professor Srgio Ota, por terem me
orientado no desenvolvimento do programa (software) utilizado no projeto.
A minha esposa Fabiana P. Monteiro Schiavon por todo seu amor, pacincia,
apoio e compreenso que foram fundamentais para concluso deste trabalho.
Aos meus pais Pedro Schiavon e Maria Ap. Schiavon por terem me dado uma
tima educao, estudo e muito amor sempre me incentivando a seguir em frente
cada vez mais e nunca desistir frente a um obstculo, me fazendo acreditar em um
futuro melhor.
Aos meus irmos Johnnes Ap. Schiavon e Djeine Cristina Schiavon por todo seu
apoio e incentivo.
A Deus por ter me proporcionado a oportunidade de realizar este estudo, pois
alm de acreditar na cincia, creio que existe uma fora maior criadora de tudo.

Meu muito obrigado a todos!

EPGRAFE

Uma coisa aprendi na minha longa vida: que toda nossa cincia,
contraposta realidade, primitiva e infantil. E, apesar disso, a coisa
mais preciosa que temos.

Albert Einstein (Fsico alemo)

RESUMO

NO-BREAK 1,2KVA, SENOIDAL, FUNCIONANDO EM MALHA


FECHADA: CIRCUITO DE POTNCIA, CIRCUITO DE
CONTROLE ANALGICO E CIRCUITO DE CONTROLE DIGITAL
COM DSC
GILSON JUNIOR SCHIAVON
dezembro, 2007

Este trabalho consiste de um amplo projeto em eletrnica de potncia que visa


ao final do mesmo a implementao da placa de potncia, da placa de controle
analgico e por ltimo a placa de controle digital de um no-break senoidal com uma
potncia de entrada de 1,2 KVA, com tenso de sada de 115VRMS, sendo o mesmo
projetado e implementado em malha fechada com controle analgico e em seguida
digital com DSC (Controlador Digital de Sinais) para comparao de desempenho.
Sero apresentados o estgio de potncia, o controle analgico em malha
fechada e o controle digital por DSC do no-break, a implementao de todas as
funes, protees e sinalizaes do sistema que controla o no-break de maneira
analgica e digital.
Os resultados experimentais apresentados so obtidos a partir do prottipo
implementado, comprovando experimentalmente a funcionabilidade da tcnica
utilizada.

Palavras-chave: No-break, conversor DC/DC, PWM, controle digital, inversor.


4

ABSTRACT

NO-BREAK 1,2KVA, SINUSOIDAL, WORKING IN CLOSED


MESH: CIRCUIT OF POTENCY, CIRCUIT OF ANALOGICAL
CONTROL AND CIRCUIT OF DIGITAL CONTROL WITH DSC
GILSON JUNIOR SCHIAVON
december, 2007

This work consists of a wide project of power electronics that seeks at the and of
the the implementation of the power board, the analogical control board and at last
the digital control board of a no-break sinusoidal with an input of power of 1,2 kVA,
with output voltage of 115VRMS, being the same projected and implemented in
closed mesh with analogical control and soon afterwards digital with DSC (Digital
Controller of Signs) for comparison.
They will be presented the power board, the analogical control in closed mesh
and the digital control for DSC of the no-break, the implementation of all of the
functions, protections and signallings of the system that controls the no-break in an
analogical and digital way.
The presented experimental results are obtained starting from the implemented
prototype, proving the functional of the technique experimentally used.

Keywords: No-break, DC/DC converter, PWM, digital control, inverter.

LISTA DE TABELAS
Tabela 1: Caractersticas eltricas do diodo 6A6.................................................. 34
Tabela 2: Tempo de recuperao reversa do UF5404.......................................... 49
Tabela 3: Mxima tenso reversa, corrente mdia e corrente de pico repetitivo do
UF5404.................................................................................................................. 50
Tabela 4: Caractersticas do IRFZ45..................................................................... 65
Tabela 5: Caractersticas do IRFP460................................................................... 76
Tabela 6: Funes dos pinos do DSPic30F2010................................................. 169
Tabela 7: Caractersticas do DSPic30F2010....................................................... 170

LISTA DE FIGURAS
Figura 1 Forma de onda de sada dos inversores............................................20
Figura 2 Diagrama de blocos do no-break .......................................................21
Figura 3: Diagrama de blocos do no-break Stand-by..........................................21
Figura 4: Diagrama de blocos do no-break Interativo .........................................22
Figura 5: Diagrama de blocos do no-break on-line .............................................22
Figura 6 Circuito retificador com filtro de linha e seletor 127V/220V................27
Figura 7 Funcionamento do retificador em 220V I ...........................................27
Figura 8 Funcionamento do retificador em 220V II ..........................................28
Figura 9 Formas de onda de tenso na rede (A), tenso no capacitor
equivalente (B), corrente nos diodos (C e D) ............................................................28
Figura 10 Funcionamento do retificador em 127V I .........................................29
Figura 11 Funcionamento do retificador em 127V II ........................................29
Figura 12 Limitador de corrente de in-rush ......................................................34
Figura 13 Filtro de interferncia eletromagntica.............................................36
Figura 14 Circuito do seletor 127V / 220V .......................................................36
Figura 15 Circuito completo do flyback ............................................................40
Figura 16 Retificador do flyback.......................................................................41
Figura 17 Diagrama de blocos do integrado 1M0380 ......................................41
Figura 18 Circuito de potncia do flyback ........................................................42
Figura 19 Conversor flyback modo descontnuo com transformador ...............43
Figura 20 Flyback: Armazenamento de energia. [t0;t1] .....................................43
Figura 21 Flyback: Transferncia de energia. [t1;t2] .........................................43
Figura 22 Flyback: Repouso. [t2;t3]...................................................................43
Figura 23 Flyback: Principais formas de onda .................................................44
Figura 24 Diagrama de blocos interno do TL431 .............................................50

Figura 25 Circuito de controle do Flyback........................................................51


Figura 26 Circuito do limitador de corrente ......................................................52
Figura 27 Circuito completo do conversor DC/DC ...........................................55
Figura 28 Circuito do snubber..........................................................................56
Figura 29 Circuito simplificado do conversor ...................................................57
Figura 30 Circuito de um conversor Forward convencional .............................58
Figura 31 Formas de onda de um conversor Forward convencional ...............58
Figura 32 Formas de onda de tenso no diodo D8 e forma da corrente no
indutor .......................................................................................................................59
Figura 33 Esquemtico do circuito do inversor ................................................68
Figura 34 Circuito de amostragem da tenso de sada....................................69
Figura 35 Esquemtico do circuito dos drives das chaves...............................70
Figura 36 Snubber das chaves do inversor......................................................72
Figura 37 Circuito simplificado do inversor ......................................................73
Figura 38 Acionamento do rel ........................................................................81
Figura 39 Sada do retificador para uma entrada de 127V ..............................82
Figura 40 Sada do retificador para uma entrada de 220V ..............................82
Figura 41 Sada do conversor flyback durante o carregamento das baterias ..83
Figura 42 Sinal na entrada do conversor DC/DC, proveniente do controle......84
Figura 43 Forma de onda no primrio do transformador do conversor DC/DC84
Figura 44 Forma de onda no secundrio do transformador do conversor
DC/DC .......................................................................................................................85
Figura 45 Forma de onda de sada do conversor DC/DC ................................86
Figura 46 Sinais da onda PWM em PINV-1 e PINV-2 I....................................87
Figura 47 Sinais da onda PWM em PINV-1 e PINV-2 II...................................87
Figura 48 Forma de onda nos gates dos MOSFETs .......................................88
Figura 49 Onda PWM antes do filtro de sada I ...............................................89
8

Figura 50 Onda PWM antes do filtro de sada II ..............................................89


Figura 51 Sinal de 60Hz na sada do circuito...................................................90
Figura 52 Diagrama de blocos do No-break e seu controle analgico.............94
Figura 53 Diagrama de blocos do controle de um conversor DC/DC...............96
Figura 54 Conversor DC/DC (Topologia Forward)...........................................98
Figura 55 Diagrama de blocos do circuito integrado 3525 ...............................98
Figura 56 Circuito de sincronismo..................................................................100
Figura 57 Circuito de controle do conversor DC/DC ......................................102
Figura 58 Inversor em ponte completa...........................................................105
Figura 59 PWM senoidal bipolar ....................................................................107
Figura 60 PWM senoidal unipolar ..................................................................107
Figura 61 Diagrama de blocos da tcnica utilizada para o controle do inversor
................................................................................................................................108
Figura 62 Oscilador com Ponte de Wien.......................................................109
Figura 63 Circuito gerador Senoidal...............................................................111
Figura 64 Circuito de controle de Corrente ....................................................112
Figura 65 Circuito gerador de onda triangular................................................113
Figura 66 Circuito do soft-start e alterador do nvel da senide.....................115
Figura 67 Circuito de realimentao de tenso..............................................116
Figura 68 Circuito limitador de nvel...............................................................117
Figura 69 Diagrama interno do TL431 ...........................................................118
Figura 70 Circuito de gerao de pulsos para o inversor...............................119
Figura 71 Circuito de sinalizao ...................................................................122
Figura 72 Circuito de proteo contra sobre aquecimento.............................125
Figura 73 Circuito controlador do disparo do rel ..........................................127
Figura 74 Circuito bloqueador de pulsos........................................................128

Figura 75 Pulsos de controle da converso DC/DC.......................................131


Figura 76 Pulsos para o sincronismo dos conversores..................................132
Figura 77 Onda senoidal de referncia ..........................................................133
Figura 78 Onda triangular de alta freqncia.................................................134
Figura 79 Pulsos da modulao PWM Senoidal ............................................135
Figura 80 Pulsos de controle do inversor.......................................................136
Figura 81 Pulsos de controle do inversor.......................................................136
Figura 82 Forma de Onda na Carga ..............................................................137
Figura 83 Soft-start da onda senoidal ............................................................138
Figura 84 Soft-start da onda na carga............................................................139
Figura 85 Comutao do rel em relao a alimentao do sistema.............140
Figura 86 Diagrama de blocos de uma converso A/D..................................152
Figura 87 Representao do sinal analgico e digital....................................152
Figura 88 Diagrama de blocos da converso A/D..........................................153
Figura 89 Conversor A/D de 4 bits .................................................................154
Figura 90 Sinal digitalizado ............................................................................158
Figura 91 Retificao e amplificao de um sinal de udio ...........................158
Figura 92 Ciclo de vida clssico de software .................................................160
Figura 93 Diagrama de blocos da placa de controle digital............................162
Figura 94 Pinos DSPic30F2010 28-Pin SDIP ................................................168
Figura 95 Diagrama de blocos do DSPic30F2010 .........................................171
Figura 96 Circuito de amostragem de rede eltrica .......................................175
Figura 97 Circuito de adequao +12V/+5V ..................................................176
Figura 98 Circuito interface dos pulsos PWM do DC/DC ...............................176
Figura 99 Circuito interface shunt ..................................................................177
Figura 100 Circuito de adequao do sinal de sada do inversor ..................178

10

Figura 101 Sinalizao de temperatura alta...................................................179


Figura 102 Circuito de sinalizao de bateria e falha de rede .......................180
Figura 103 Foto da placa de controle digital com DSC ..................................180
Figura 104 Giga para teste do DSC ...............................................................181
Figura 105 Foto da placa giga de testes para DSC .......................................182
Figura 106 Foto da placa giga de testes acoplada placa de controle digital
................................................................................................................................182
Figura 107 Conversor DC/DC tipo Buck ........................................................183
Figura 108 Foto do conversor Buck LCD medindo tenso.............................183
Figura 109 Foto placa DSC e LCD com chave de leitura V/I .........................183
Figura 110 Foto banco de carga com cooler e resistor shunt ........................184
Figura 111 Foto conversor buck e placa controle com SG3524 ....................184
Figura 112 Programador e depurador ICD2BR ...............................................185
Figura 113 Placa de controle digital DSC e ICD2BR interligados....................185
Figura 114 Placa interface chave de sinais....................................................186
Figura 115 Buck, giga de testes e controle digital interligados ......................186
Figura 116 Placa potncia, interface chaves e controle digital interligados ...186
Figura 117 Conjunto utilizado no desenvolvimento do projeto.......................187
Figura 118 Placa de potncia com controle analgico em protoboard...........187
Figura 119 Pulsos PWM para o conversor DC/DC ........................................189
Figura 120 Pulsos PWM para o inversor........................................................190
Figura 121 Onda senoidal na carga ...............................................................191
Figura 122 Soft-Start da onda senoidal de sada...........................................192
Figura 123 Sinal de comutao do rel..........................................................193
Figura 124 Partida do DC/DC com controle PID ............................................194
Figura 125 Partida do DC/DC sem controle PID ............................................194

11

Figura 126 - Diagrama mostrando a correspondncia entre a faixa primria no


plano s e o crculo unitrio no plano z. ....................................................................205
Figura 127 - Diagrama mostrando o mapeamento do plano s ao z e do plano z
ao w.........................................................................................................................207

12

SUMRIO
Introduo Geral .................................................................................................18
1 - Circuito Retificador.....................................................................................26
1.1 - Introduo ...............................................................................................26
1.2 - Procedimentos de Projeto .......................................................................27
1.2.1 - Retificador.........................................................................................27
1.2.2 - Rel e o Resistor Limitador de Corrente In-rush...............................34
1.2.3 - Filtro de Linha ...................................................................................35
1.2.4 - Seletor 127V / 220V..........................................................................36
1.3 - Concluso (Circuito Retificador)..............................................................38
2 - Carregador de Baterias..................................................................................39
2.1 - Introduo ...............................................................................................39
2.2 - Procedimentos de Projeto .......................................................................40
2.2.1 - Retificador de Entrada ......................................................................40
2.2.2 - Flyback .............................................................................................41
3 - Conversor DC/DC ..........................................................................................54
3.1 - Introduo ...............................................................................................54
3.2 - Procedimentos de Projeto .......................................................................55
3.2.1 - Circuitos Adicionais ..........................................................................55
3.2.2 - Snubber ............................................................................................56
3.2.3 - Conversor .........................................................................................57
3.3 - Concluso (Conversor DC/DC) ...............................................................66
4 - Inversor..........................................................................................................67
4.1 - Introduo ...............................................................................................67
4.2 - Procedimentos de Projeto .......................................................................69
4.2.1 - Circuitos para o Controle e Proteo................................................69

13

4.2.2 - Drives das Chaves............................................................................70


4.2.3 - Snubber ............................................................................................72
4.2.4 - Funcionamento do Inversor ..............................................................72
4.2.5 - Carga Proposta.................................................................................74
4.2.6 - Dimensionamento das Chaves .........................................................75
4.2.7 - Clculo do Filtro de Sada.................................................................76
4.3 - Concluso (Circuito Inversor)..................................................................78
5 - Resultados Experimentais .............................................................................80
5.1 - Resultados para o Retificador .................................................................80
5.2 - Resultados para o Carregador das Baterias ...........................................82
5.3 - Resultados para o Conversor DC/DC .....................................................83
5.4 - Resultados para o Inversor .....................................................................86
6 - Consideraes Finais (Etapa de Potncia)....................................................91
7 - Circuito de Controle Analgico.......................................................................93
7.1 - Introduo ...............................................................................................93
8 - Controle do Conversor DC/DC.......................................................................96
8.1 - Introduo ...............................................................................................96
8.2 - Conversor Forward..................................................................................97
8.3 - CI 3525....................................................................................................98
8.4 - Sincronismo...........................................................................................100
8.5 - Malha Fechada......................................................................................100
8.6 - Ajuste da Tenso em 260V ...................................................................101
8.7 - Circuito em Malha Fechada...................................................................101
8.8 - Concluso (Circuito de Controle Analgico do DC/DC) ........................102
9 - Inversor........................................................................................................103
9.1 - Introduo .............................................................................................103

14

9.2 - Inversor de Tenso Monofsico em Ponte Completa............................104


9.3 - Estratgias de Modulao.....................................................................105
9.4 - Tcnica Utilizada para o Controle do Inversor.......................................108
9.4.1 - Gerador Senoidal............................................................................108
9.4.2 - Controle de Corrente ......................................................................111
9.4.3 - Gerador de Onda Triangular...........................................................113
9.4.4 - Soft-start e Bloco para Alterar o Nvel da Onda Senoidal...............114
9.4.5 - Realimentao de Tenso ..............................................................115
9.4.6 - Circuito Limitador de Nveis Superior e Inferior ..............................116
9.4.7 - Circuito de Gerao dos Pulsos: Lgica e Proteo.......................118
9.5 - Concluso (Circuito de Controle Analgico do Inversor).......................120
10 - Proteo e Sinalizao ..............................................................................122
10.1 - Circuito Sinalizador e Alarme Sonoro..................................................122
10.1.1 - Sinalizao da Rede Eltrica ........................................................123
10.1.2 - Sinalizao da Carga da Bateria por Leds....................................123
10.1.3 - Sinalizao Sonora.......................................................................124
10.2 - Proteo Contra Aquecimento ............................................................124
10.3 - Comutao do Rel.............................................................................126
10.4 - Circuito Bloqueador dos Pulsos ..........................................................127
10.5 - Concluso (Circuito de Proteo e Sinalizao Analgico).................129
11 - Resultados Experimentais .........................................................................130
11.1 - Introduo ...........................................................................................130
11.2 - Controle do Conversor DC/DC............................................................130
11.3 - Onda Senoidal.....................................................................................132
11.4 - Onda Triangular ..................................................................................133
11.5 - Pulsos de Chaveamento do Inversor ..................................................134

15

11.6 - Forma de Onda na Carga....................................................................137


11.7 - Soft-Start .............................................................................................138
11.8 - Sinal para Comutao do Rel............................................................139
11.9 - Concluso (Resultados Experimentais) ..............................................140
12 - Consideraes Finais (Etapa de Controle Analgico)................................141
13 - Circuito de Controle Digital por DSC..........................................................143
13.1 - Introduo ...........................................................................................143
13.2 - Microprocessadores, Microcontroladores, DSPs e DSCs.................146
13.3 - Processamento em Tempo Real .........................................................150
13.4 - Converso A/D e D/A ..........................................................................151
13.5 - Segurador de Ordem Zero (ZOH) .......................................................155
13.6 - Efeito Da Amostragem Aliasing........................................................155
13.7 - Ponto Fixo e Ponto Flutuante ..............................................................156
13.8 - Processamento Digital de Sinais.........................................................157
13.9 - Planejamento e Projeto de um Software .............................................158
13.10 - Linguagem de Programao C..........................................................160
14 - Dsc Utilizado ..............................................................................................162
14.1 - Diagrama de Blocos da Placa de Controle Digital...............................162
14.2 - Caractersticas do DSC Utilizado (DSPic30F2010).............................165
14.3 - Fluxograma do Programa (DSPic30F2010) ........................................172
15 - Placa de Controle Digital ...........................................................................175
15.1 - Circuito de Amostragem de Rede Eltrica ..........................................175
15.2 - Circuito de Adequao do Sinal +12V/+5V .........................................175
15.3 - Circuito Interface dos Pulsos PWM do DC/DC e Inversor ...................176
15.4 - Circuito de Amplificao e adequao do Sinal do Shunt ...................177
15.5 - Circuito de Adequao do Sinal de Sada do Inversor ........................177

16

15.6 - Sinalizao de Temperatura................................................................178


15.7 - Circuito do DSC...................................................................................179
15.8 - Circuito de Sinalizao de Nvel de Bateria e Falha de Rede eltrica.179
16 - Outras Placas Desenvolvidas ....................................................................181
16.1 - Giga para Testes do DSC ...................................................................181
16.2 - Conversor Buck...................................................................................182
16.3 - Programador e Depurador ICD2BR ......................................................184
16.4 - Interface Placa de Controle Digital/No-Break ......................................185
17 - Resultados Obtidos (Etapa de Controle Digital).........................................188
17.1 - Introduo ...........................................................................................188
17.2 - Controle do Conversor DC/DC............................................................188
17.3 - Controle do Inversor............................................................................189
17.4 - Onda Senoidal na Carga.....................................................................190
17.5 - Partida Suave (Soft-Start) ...................................................................191
17.6 - Comutao do Rel (Corrente de Partida) .........................................192
17.7 - Partida do DC/DC com Carga .............................................................193
18 - Consideraes Finais (Etapa de Controle Digital por Dsc) ........................195
Concluso do Trabalho .....................................................................................197
Publicao ........................................................................................................200
Apndice a ........................................................................................................201
Referncias Bibliogrficas.................................................................................209
anexos ..............................................................................................................213
Anexo 1 Diagrama completo da placa de potncia ....................................214
Anexo 2 Diagrama completo da placa de controle analgico.....................215
Anexo 3 Diagrama completo da placa de controle digital por DSC ............216

17

Introduo Geral

O crescente avano tecnolgico tem proporcionado uma reduo nos custos de


muitos equipamentos e sistemas eltricos, tornando-os acessveis a uma quantidade
maior de consumidores. Devido a isso, o uso destes equipamentos j deixou de ser
uma simples sofisticao e passou a fazer parte das necessidades bsicas de
manuteno da vida da populao. Estes fatores tm contribudo para aumentar
significativamente o consumo de energia eltrica, ocasionando diversas vezes
interrupes inesperadas no seu fornecimento, uma vez que as unidades
fornecedoras de energia no conseguem suprir tamanha demanda. Alm disso, a
qualidade de energia eltrica comprometida devido a presena de distrbios nas
tenses.
Os distrbios geralmente encontrados em um sistema de distribuio de energia
eltrica so: sobre-tenses e sub-tenses, picos rpidos de tenso de valores muito
elevados, resultante de descargas atmosfricas, transitrios de chaveamento
realizados por disjuntores ou fusveis de alta potncia que alcanam tenses muito
elevadas,

rudos

por

interferncia

eletromagntica

causados

pelas

fontes

chaveadas, etc.
Portanto, mesmo que esforos para melhorar a qualidade de energia estejam
sendo realizados a fim de atenuar alguns desses problemas, a energia disponvel
nas redes de distribuio no de qualidade aceitvel para algumas aplicaes.
Tais aplicaes so, por exemplo, aquelas que consumidores trabalham com
equipamentos caracterizados como cargas crticas, como sistema de comunicao e
computadores em aeroportos, equipamentos hospitalares, estaes de trabalho e
servidores com bancos de dados, em centros computacionais, que necessitam de
um fornecimento ininterrupto de energia eltrica.

18

Estes setores possuem a sua disposio unidades de alimentao alternativa


capazes de prover energia eltrica temporariamente. Estas unidades de alimentao
alternativa so denominadas de fontes ininterruptas de energia (UPS
Uninterruptible Power Supplies) ou no-break.
Basicamente uma fonte ininterrupta de energia composta por um retificador,
que converte as tenses alternadas de alimentao em corrente contnua, um banco
de baterias para armazenar energia, um inversor de tenso, e circuitos eletrnicos
responsveis para o controle do sistema. O inversor de tenso de um no-break
serve para gerar uma tenso alternada (AC) a partir de uma tenso contnua (DC),
normalmente proveniente de uma bateria. Esta tenso alternada deve ter freqncia,
forma e amplitude invariantes, independentemente das eventuais alteraes na
alimentao provenientes da bateria ou na carga.
Por se tratar de uma fonte alternativa de tenso, a amplitude e a freqncia so
os mesmos encontrados na rede eltrica, ou seja, uma tenso de 115V alternada
com uma freqncia de 60Hz. J quanto a sua forma, a onda de sada do inversor
pode ser quadrada, semi-senoidal ou senoidal.
A onda quadrada no indicada para cargas indutivas, seus principais
inconvenientes so: peso (utiliza um transformador de baixa freqncia bastante
pesado), apresenta alta distoro harmnica total de tenso (THDv), superior a 40%,
gera rudos, aquecimentos, funcionamentos defeituosos e a eficincia baixa, em
torno de 60 a 80% [29].
No caso da onda semi-senoidal que uma forma de onda intermediria entre a
onda quadrada e a onda senoidal pura, reduz a distoro harmnica total de tenso
(THDv em torno de 15 a 35%), aumenta o valor de pico at o da senide pura, e
aumenta consideravelmente a eficincia (80 a 95%). Este tipo de equipamento
atende a maioria das necessidades domsticas e profissionais de pequeno porte
[29].
com inversor de onda senoidal pura que os aparelhos eletro-eletrnicos tm
seu desempenho mximo, pois no geram rudos ou distores em aparelhos de
som, vdeo, DVD e estreo. Proporcionam partidas suaves a motores e evitam
aquecimentos indesejveis ou zumbidos desagradveis. Tm a vantagem de
oferecer um melhor controle da freqncia e da amplitude da tenso e sua eficincia

19

fica em torno de 85 a 95% e apresenta baixa THDv (1 a 5%), o que torna o inversor
senoidal tecnicamente desejvel. No entanto, o seu alto preo torna-o invivel em
muitas aplicaes [29].

Figura 1 Forma de onda de sada dos inversores.

Este trabalho, consiste de um amplo projeto em eletrnica de potncia que visa


ao final do mesmo a implementao da placa de potncia de um no-break senoidal
20

com uma potncia de entrada de 1,2 kVA, sendo o mesmo projetado e


implementado em malha fechada, a qual ser referida a seguir apenas como nobreak. Apresenta-se na Figura 2, o diagrama de blocos do no-break proposto.

Figura 2 Diagrama de blocos do no-break.

As principais topologias de no-break existentes so, stand-by, interativo e online. O projeto aqui implementado trata-se de um no-break on-line. Ser feito a
seguir uma comparao entre eles.

Figura 3: Diagrama de blocos do no-break Stand-by.

Em um no-break stand-by, Figura 3, quando a rede eltrica est presente a


chave CH mantida fechada. A carga alimentada pela rede eltrica, onde a tenso
e freqncia de sada so portanto totalmente dependentes da tenso e freqncia
de entrada. Em caso de falha de rede eltrica a chave CH aberta e dada a
partida no inversor. A carga passa a ser alimentada pelo conjunto inversor/banco de
baterias.

21

Figura 4: Diagrama de blocos do no-break Interativo.


No caso do no-break interativo visto na Figura 4, um nico conversor
desempenha as funes de carregador de baterias, condicionador de tenso e
inversor, onde na presena de rede eltrica, a rede condicionada pelo conversor,
que tambm mantm as baterias carregadas. As freqncias de entrada e sada so
iguais, enquanto na ausncia de rede eltrica a chave CH aberta e este conversor
inverte o sentido de potncia passando a operar como inversor, alimentando a carga
com a energia das baterias.

Figura 5: Diagrama de blocos do no-break on-line.

Em um no-break on-line, Figura 5, quando a rede eltrica est presente, o


circuito retificador alimenta o inversor, enquanto o banco de baterias mantido
carregado pelo circuito carregador de baterias. A carga continuamente alimentada
pelo inversor, enquanto na falta de rede eltrica a energia armazenada no banco de
baterias utilizada pelo inversor para alimentar a carga, sem interrupo ou
transferncia.
Como pode-se observar, a topologia on-line, utilizada neste trabalho a nica
no qual a carga sempre alimentada pelo circuito inversor, ou seja, com rede

22

eltrica ou sem rede eltrica as imperfeies da rede no so refletidas na carga,


pois no processo de retificao e inverso da tenso todas estas imperfeies so
removidas ou filtradas pelo no-break.
O no-break proposto neste trabalho trata-se ento de um no-break on-line com
um aperfeioamento, comparando as Figuras 2 e 5 pode-se observar que a Figura 2
possui um bloco a mais (HB4) do que a Figura 5. Isto porque normalmente um nobreak on-line trabalha em baixa freqncia, ou seja, reduz a tenso da rede atravs
de um transformador, retifica e filtra esta tenso para da ento alimentar o circuito
inversor com uma tenso prxima ao valor da tenso do banco de baterias e assim
tambm acontece com o circuito carregador de baterias. Com isto na falta de rede
eltrica, o circuito inversor alimentado diretamente pelo banco de baterias.
O bloco HB4 que aparece no diagrama de blocos da Figura 2, que o proposto
neste trabalho, trata-se de um conversor DC/DC elevador de tenso chaveado em
alta freqncia que ir alimentar o circuito inversor na falta da rede eltrica. Isto
porque eliminou-se do projeto um dos maiores componentes e que apresenta um
baixo rendimento, o transformador de baixa freqncia.
A entrada do no-break retificada e filtrada sem transformador o que resulta em
uma tenso DC, que ser o valor de pico da tenso da rede eltrica alimentando-se
assim o circuito inversor com uma tenso de alto valor.
Para o circuito carregador de baterias tambm eliminou-se o transformador de
baixa freqncia, implementando um conversor DC/DC flyback chaveado em alta
freqncia que ir manter o banco de baterias carregado enquanto existir rede
eltrica presente. Esta tecnologia de chaveamento em alta freqncia utilizada no
carregador de baterias, conversor DC/DC elevador de tenso e tambm na ponte do
circuito inversor, apresentou uma considervel diminuio no tamanho final do
equipamento (maior robustez).
Tambm possibilitou a implementao de uma estratgia de controle e proteo
analgicos assim como a implementao destes de maneira digital utilizando um
DSC (Controlador Digital de Sinais) de baixo custo e podendo ento realizar uma
comparao de desempenho destas duas tecnologias, visto ainda que a tecnologia
de controle digital com processamento em tempo real tema de muitas pesquisas

23

atualmente, por se tratar de uma tecnologia recente, tanto que esta rea ainda est
muito precria de material didtico.
Mais especificamente, foi realizado neste trabalho o projeto do j mencionado
no-break, bem como a implementao do mesmo e montagem de uma placa em
circuito impresso, conforme o projeto.
Aps a montagem da placa foram feitos os testes e ajustes necessrios, bem
como correes e mudana de componentes pertinentes. Tambm o acrscimo e
retirada de componentes at que se atingisse o funcionamento desejado. As
disparidades entre o projeto e a real necessidade na prtica, foram ajustados
resultando em uma placa final, que apresenta o funcionamento esperado do nobreak.
Como observado na Figura 2 o circuito de potncia do no-break pode ser
dividido em 4 blocos, o primeiro bloco (HB1) trata-se de um conversor AC/DC, para
este conversor foi utilizado um circuito retificador, com ainda um seletor 127V/220V.
Assim, para uma tenso de entrada de 127V utiliza-se um retificador dobrador e
para uma entrada 220V, utiliza-se um retificador normal (no-dobrador), do qual
trata-se no captulo 1.
O terceiro bloco (HB3) um conversor AC/DC utilizado para carregar as
baterias, tendo assim uma sada de 28V com um limitador de corrente de 1A. Para
tal circuito utiliza-se um conversor flyback no modo descontnuo, controlado por um
circuito integrado 1MO380, que ser tratado em seo especfica (captulo 2).
No captulo 3 ser tratado o quarto bloco (HB4), que corresponde a um
conversor DC/DC elevador, o qual quando h falta de energia converte a tenso das
baterias, em torno de 24V, em uma tenso de 260V. Para tanto, este bloco utiliza um
conversor que mescla caractersticas dos conversores forward e push-pull.
Finalmente, no captulo 4, ser analisado o segundo bloco (HB2) da Figura 2,
que corresponde ao circuito inversor, que visa transformar a tenso contnua de
sada dos blocos 1 ou 4 novamente em uma tenso senoidal de 115VRMS e de
freqncia 60Hz, com uma potncia de sada de 700W.
Assim a unio destes 4 blocos formar o circuito total do no-break. Quando
houver tenso na rede o bloco HB1 ir retificar a onda da rede que, depois passar
pelo inversor formando novamente uma onda senoidal, o que elimina as
24

imperfeies da rede j mencionadas nesta seo. E o bloco HB3 carregar as


baterias, quando elas estiverem descarregadas, at que atinjam a carga completa.
Quando houver uma falta de energia na rede, o bloco HB4 atuar, convertendo a
tenso das baterias para uma tenso mais elevada (260V). Finalmente o inversor,
juntamente com um filtro, converter esta tenso em uma onda senoidal de 115V,
60Hz, enquanto houver carga nas baterias. Eliminando, assim faltas rpidas na rede,
e ainda permitindo que equipamentos delicados sejam adequadamente desligados e
dados sejam salvos, antes que a carga das baterias cesse.

25

1 - Circuito Retificador

1.1 - Introduo
Este primeiro captulo tratar do primeiro bloco do diagrama de blocos
apresentado na Figura 2 na introduo geral deste trabalho. Este primeiro bloco
trata-se de um conversor AC/DC, que converte a forma de onda da rede eltrica,
podendo esta ter 127V ou 220VRMS, com freqncia de 60Hz.
Este bloco converte a tenso alternada da rede em uma tenso contnua que
alimenta o barramento de entrada do inversor. Assim, como a entrada deste bloco
alimentada pela rede, ele s estar ativo quando a rede estiver presente, quando a
rede sair, o mesmo barramento de sada deste bloco ser alimentado pelo conversor
DC/DC alimentado pela bateria.
Adicionalmente, neste mesmo captulo ser citado tambm o filtro de linha, que
filtra as impurezas da rede, tais como picos de tenso de alta freqncia e outros
rudos, para que estas no prejudiquem componentes do circuito, bem como seu
correto funcionamento. Um resistor na entrada inserido no circuito apenas no incio
do funcionamento, para limitar a corrente de partida (in-rush), quando os capacitores
do retificador esto descarregados, que curto-circuitado por um rel cuja bobina
acionada pelo controle.

26

1.2 - Procedimentos de Projeto


1.2.1 - Retificador
Para o projeto deste retificador, as equaes utilizadas foram retiradas de
[TREVISO, 2005]. A Figura 6, mostra o circuito retificador implementado neste
projeto, com um seletor 127V/220V, que ser explicado a seguir. tambm
importante lembrar que este circuito no ligado diretamente rede, passando
antes por um filtro de linha e um rel que habilita um resistor no incio do
funcionamento da placa.

Figura 6 Circuito retificador com filtro de linha e seletor 127V/220V.

Quando a tenso de entrada de 220VRMS o rel fica em NF, assim o circuito


funciona como um retificador de onda completa comum, como se pode notar na
Figura 7.

Figura 7 Funcionamento do retificador em 220V I.


27

Quando as tenses positiva e negativa da rede esto como mostrado na Figura


7, os diodos em destaque iro conduzir, carregando os capacitores com a tenso de
pico da rede, e, no outro semi-ciclo da rede o funcionamento ocorrer conforme a
Figura 8.

Figura 8 Funcionamento do retificador em 220V II.

Assim, o retificador ir carregar os capacitores com a tenso de pico da rede a


cada semi-ciclo da mesma, conforme se pode observar na Figura 9.

Figura 9 Formas de onda de tenso na rede (A), tenso no capacitor equivalente


(B), corrente nos diodos (C e D).

28

Ainda, quando a tenso de entrada de 127V, o rel chaveado na posio NA


e o circuito passa a funcionar como um dobrador de tenso, conforme Figura 10.

Figura 10 Funcionamento do retificador em 127V I.

Na Figura 10, no semi-ciclo positivo da rede apenas os dois capacitores de cima


so carregados com a tenso de pico da rede, e no semi-ciclo negativo da rede os
dois capacitores de baixo tambm so carregados com a tenso de pico da rede,
conforme a Figura 11. Assim, o capacitor equivalente dos dois capacitores de cima
mais os dois capacitores de baixo ter uma tenso de 2Vp. Com apenas o diodo em
destaque conduzindo.

Figura 11 Funcionamento do retificador em 127V II.

29

1.2.1.1 - Clculos para o Retificador como Onda Completa


A capacitncia utilizada no equacionamento a capacitncia equivalente dada
pelas associaes em srie e paralelo entre os capacitores. Assim, a energia
acumulada neste capacitor equivalente em cada semi-ciclo dada por:

[Equao 1]

Como a energia acumulada no capacitor tambm pode ser dada por:

Win

Pin
f

[Equao 2]

E a tenso mnima pode ser escrita como:


Vmin V p cos(2 f t c )
t c Tempo de carga do capacitor

[Equao 3]

O tempo de carga pode ser dado pela equao a seguir:

cos 1 Vmin
V
p

tc
2 f

[Equao 4]

A carga que o capacitor absorve e cede a cada meio ciclo de funcionamento da


rede calculado pela equao a seguir.

Q ichg tc C V
ichg Pico de corrente de carga do capacitor
Assim, a corrente de carga pode ser estipulada por:

30

[Equao 5]

ichg

C V C (V p Vmin )

tc
tc

[Equao 6]

Igualando-se as equaes 1 e 2 tem-se:

[Equao 7]

portanto:
C

Pin
2
2
f V p Vmin

[Equao 8]

Considerando:

Ichg Valor eficaz da componente alternada da corrente de carga.

IDC Valor mdio da corrente de carga.

Ic1ef Valor eficaz da corrente total de carga do capacitor.

Ento:
2
2
I c21ef I DC
I chg
2
I chg I c21ef I DC

[Equaes 9 e 10]

E, como:
2 tc
T
2 tc

I DC ichg
I c1ef ichg

[Equaes 11 e 12]

Assim:

2
I chg ichg

2 tc 2 4 tc2
ichg 2
T
T

I chg ichg 2 tc f (2 t c f ) 2

31

[Equaes 13 e 14]

No capacitor circula apenas a componente alternada da corrente ichg, produzindo


perdas em sua resistncia srie equivalente (RSE), o que provoca aquecimento.
Assim, pode-se calcular o retificador, com uma potncia de sada de 700W,
adotando um rendimento de 90%, uma tenso de entrada de 220V com variao de
10% e uma tenso mnima de 260V, e pela equao 8, tem-se:

Assim, cada um dos capacitores deve ter o dobro do valor mostrado acima, uma
vez que esto em srie, ou este mesmo valor se estiverem em srie-paralelo, como
o caso, conforme a Figura 6. E a corrente de carga, que a corrente de pico
repetitivo que os diodos devem suportar, pode ser calculada pelas equaes 4 e 6.

ichg

260
cos 1

280

tc
1,01ms
377
1199F (280 260)

23,78 A
1,01ms

E a corrente mdia nos diodos dada por:


I Dmdia

Pin
777,77

1,94 A
2 V 2 200

1.2.1.2 - Clculos para o Retificador como Dobrador


Para o clculo da tenso mnima neste modo de operao, tem-se que levar em
conta que esta ocorrer quando um dos capacitores estiver em seu nvel mnimo de
tenso, enquanto o outro, neste momento estar ainda no meio de sua descarga,
tendo uma tenso dada pela mdia entre a sua tenso de pico e a sua tenso
mnima, assim:
VC1 p VC 2 p
VC1min VC 2 min
Vmin VC1min

VC 2 min Vc 2 p

32

[Equaes 15, 16 e 17]

Substituindo as equaes 15 e 16 na 17, tem-se:

VC1min

2 Vmin Vc1 p

[Equao 18]

A energia fornecida pelo capacitor a cada meio ciclo dada pela equao a
seguir:
W

C1 VC21 p VC21min
W

[Equaes 19 e 20]

Pin
f

Assim, as capacitncias C1 e C2 devem ser de:

C1 C 2

Pin
f V VC21 min

2
C1 p

[Equao 21]

Para o clculo do tempo de carga, pode-se aplicar a equao 4 para o capacitor


C1 ou C2, bem como a equao 6 para a corrente de carga, que tambm ser a
corrente de pico repetitivo que o diodo deve suportar, substituindo Vmin por VC1min e
Vp por VC1p. Assim, considerando a tenso de entrada de 127V, tem-se, aplicando-se
as equaes 18, 21, 4 e 6,

Assim para o clculo dos capacitores, usa-se o valor calculado na seo 1.2.1.1.
A corrente mdia e a tenso reversa que o diodo deve suportar, est apresentada a
seguir:

33

I Dmed ichg t c f
I Dmed 23,51 1,961m 60 2,76 A
VD max 2 2 V AC max 1,1

[Equaes 22 e
23]

VD max 2 2 127 1,1 395,13V

Utiliza-se o diodo 6A6, cujas caractersticas se encontram na Tabela 1,


preenchendo com folga os requisitos apresentados.
Tabela 1: Caractersticas eltricas do diodo 6A6

1.2.2 - Rel e o Resistor Limitador de Corrente In-rush


O circuito do resistor que limita a corrente de in-rush e o circuito do rel que o
aciona esto apresentados a seguir.

Figura 12 Limitador de corrente de in-rush.

34

No circuito da Figura 12, na situao inicial (NF) o resistor est inserido no


circuito e a nica carga que a rede enxerga, uma vez que os capacitores esto
descarregados.
Decorrido algum tempo, conforme implementado no controle, os capacitores j
tero efetuado suas cargas iniciais. O controle enviar um sinal positivo, que ir
saturar o nico transistor apresentado no circuito. Desta forma surgir uma tenso
de 12V VSAT na bobina, acionando o rel, que mudar de posio, curtocircuitando o resistor e ligando a rede (h um filtro de linha entre a rede e o rel) ao
retificador.
Para uma situao extrema, em que a rede ligada em 220V, com uma tenso
10% acima do valor nominal, estando os capacitores descarregados, tem-se uma
corrente de partida (in-rush), pela lei de Ohm, de:
I

1,1 220 2
15,5 A
22

1.2.3 - Filtro de Linha


O filtro de linha apresentado na Figura 13, tem a funo de filtrar interferncias
eletromagnticas que, de outra maneira poderiam interferir no circuito e at mesmo
reduzir a vida til dos componentes, bem como causar outros problemas, servindo
tambm para amenizar o envio de rudos para a rede, enviados do prprio
equipamento.
Com este propsito utiliza-se um filtro de resistores, capacitores e indutores. Os
capacitores utilizados devem ser dos tipo X e Y. Estes capacitores so destinados
supresso de interferncia porque possuem baixas resistncia e indutncia srie
equivalentes, alta capacidade de absoro de transientes, boa resistncia
ionizao devido impregnao do dieltrico, excelente propriedade de autorecuperao aps ionizaes momentneas do dieltrico, alm de altas freqncias
de ressonncia.
A Figura 13 mostra a implementao do filtro de linha.

35

Figura 13 Filtro de interferncia eletromagntica.

1.2.4 - Seletor 127V / 220V


O circuito da Figura 14, identifica se a tenso de alimentao de 127V ou
220V, e conforme for, aciona o rel do dobrador de tenso, de forma a ajustar o
retificador. Se a tenso for de 127V, aciona o rel de forma a obter-se um retificador
dobrador, e, se for de 220V, um retificador de onda completa.

Figura 14 Circuito do seletor 127V / 220V.

36

Na Figura 14, as sadas A1 e A2 so conectadas nos terminais da bobina do


rel. Os resistores de 47k e o paralelo entre os resistores de 180 e 1k formam
um divisor resistivo, que saturar o transistor BC546 ao atingir por volta de 0,7V. A
seguir tem-se o clculo que leva tenso necessria para saturar este transistor.
1000 180
152
1000 180
R1
V
0,7
R1 R2
R1 R2
V 0,7
R1
152 47k
V 0,7
217V
152

1k // 180

Para que a rede atinja uma tenso de 217V (pico), a tenso RMS na rede dever
ser de:

VRMS

217
153V
2

Portanto, o transistor BC546 ir saturar para tenses acima de 150V, o que ir


provocar a descarga do capacitor de 47F em paralelo com o mesmo, colocando,
assim o transistor MPSA42 em corte e o capacitor em srie com o mesmo ir
descarregar-se. Deixando o rel em sua posio normal, ou seja, permanecer
fechado em NF (normalmente fechado), e aberto em NA (normalmente aberto), o
que far com que o retificador funcione em modo onda completa, conforme as
Figuras 7 e 8.
Para tenses abaixo de 150V, como o caso de uma tenso de 127V, O BC546
no ir saturar, e o capacitor de 47F em paralelo com o mesmo ser carregado
pelo resistor de 33k e injetar corrente suficiente para que a juno base-emissor
do MPSA42 mantenha-se polarizada, e o mesmo opere em saturao, o que
permitir a carga do capacitor em paralelo com A1 e A2, ligados bobina do rel,
ativando-o. Assim, o rel passar posio acionado, abrindo em NF e fechando
em NA, o que ir fazer com que o circuito funcione como um retificador dobrador,
como pode ser observado nas Figuras 10 e 11.

37

1.3 - Concluso (Circuito Retificador)


Assim, para que o circuito funcione perfeitamente em todos os aspectos
considerados no projeto os diodos 6A6 sero suficientes para suprir as
necessidades do circuito, conforme apresentado na Tabela 1.
Os capacitores do filtro do retificador, conforme apresentado na seo 1.2.1.1
devem ter um valor comercial de 1200F, cada um dos capacitores em srie
paralelo, e, uma isolao de 250V em cada capacitor ser suficiente. Porm, por
indisponibilidade no laboratrio deste componente, foram utilizados 4 capacitores de
470F/250V na placa do prottipo.
Conforme mostrado na seo 1.2.2, para proteo dos semicondutores e demais
componentes quanto a altas correntes de pico no momento inicial da carga dos
capacitores, foi implementado uma proteo contra esta corrente de in-rush, atravs
da insero se um resistor de 22/5W. A funo deste resistor limitar a corrente
inicial, o qual controlado por um rel acionado pelo circuito de controle, em
aproximadamente 1s, no inicio do funcionamento do circuito.
Na seo 1.2.3 foi mostrado o filtro de linha que protege tanto a rede quanto o
circuito contra distrbios que entram ou saem do circuito, melhorando a qualidade da
rede e o tempo de vida dos componentes do circuito.
E, finalmente, na seo 1.2.4 considera-se o seletor de tenso que foi calculado
e comutar em torno dos 150VRMS, devendo selecionar corretamente a tenso da
rede, permitindo que a entrada seja de 127V ou 220V.

38

2 - Carregador de Baterias

2.1 - Introduo
Como j mencionado na introduo geral, o carregador das baterias foi
implementado atravs de um conversor flyback no modo descontnuo com
transformador, controlado pelo integrado 1M0380 [5].
A tenso do carregador ser controlada por um regulador ajustvel de preciso,
o TL431 [6], tambm contando com um circuito de controle de corrente. O circuito
completo do carregador de baterias ser analisado neste captulo.
O carregador das baterias deve fornecer uma tenso de sada de 28V, uma vez
que foram utilizadas duas baterias de 12V em srie, e as mesmas apresentam uma
tenso de flutuao um pouco acima da nominal.
Este circuito possui um limitador de corrente de 1A, pois o equipamento ter um
longo perodo para carregar as baterias, quando houver energia da rede. A potncia
relativamente baixa, da ordem de 30W, torna simplificado o circuito e a robustez dos
componentes utilizados no mesmo.

39

Figura 15 Circuito completo do flyback.

2.2 - Procedimentos de Projeto


Para o projeto do conversor flyback, as equaes utilizadas foram as de
[MELLO, 1987].

2.2.1 - Retificador de Entrada


A parte do circuito mostrada na Figura 16 o circuito retificador que ir alimentar
o flyback.

40

Figura 16 Retificador do flyback.

Como se pode observar, este um circuito retificador de onda completa, e para


uso posterior no projeto, ser considerado que ele fornecer uma tenso de sada
mnima de 130V e tenso mxima na sada de 350V.

2.2.2 - Flyback
2.2.2.1 - Integrado 1M0380 [5]
O flyback controlado pelo integrado 1M0380. O pino 1 (GND) deve ser ligado
ao terra, j o pino 2 corresponde ao dreno (drain) do FET na sada. O pino 3 (VCC)
corresponde alimentao, que deve ser de no mximo 30V. Seu diagrama de
blocos mostrado a seguir:

Figura 17 Diagrama de blocos do integrado 1M0380.

41

E o pino 4 corresponde realimentao. A freqncia de trabalho deste


integrado de 67kHz. A Figura 18 apresenta a parte do circuito que representa o
flyback, sem o controle e retificador.

Figura 18 Circuito de potncia do flyback.

Inicialmente, o resistor de 180k polariza o zener D02CZ18 (18V), que manter


18V sobre si, o zener D02CZ12 (12), estar polarizado diretamente, assim, no pino
de alimentao (pino 3) do integrado, haver uma tenso de 18V, menos a queda de
tenso no zener D02CZ12 diretamente polarizado, que da ordem de 0,7V,
resultando em uma tenso de 17,3V, suficiente para iniciar o funcionamento do
integrado, no tendo potncia necessria para mant-lo funcionando.
Apesar dessa alimentao inicial no ser suficiente para manter o integrado, ela
permitir que ele libere os primeiros pulsos. A partir desse momento entra em
operao a sua alimentao propriamente dita, para este fim h o terceiro
enrolamento, exclusivamente para alimentar o dispositivo integrado.
Este enrolamento possui apenas seis espiras, de um fio 25AWG, e atravs dele,
os pulsos, passando pelo diodo 1N4148 e pelo resistor de 10 ir carregar o
capacitor de 10F, que manter tenso suficiente para alimentar o 1M0380, e esta
tenso estar grampeada em 30V pelos diodos zener, D02CZ18 e D02CZ12, pois o
integrado possui uma proteo interna de 32V.

2.2.2.2 - Flyback Modo-Descontnuo com Transformador


Na Figura 19 apresentado um exemplo de circuito flyback com transformador.

42

Figura 19 Conversor flyback modo descontnuo com transformador.

No flyback Figura 19 o transformador, ir funcionar como elemento de


transferncia de energia, sendo carregado no primrio pelo transistor e
descarregado no secundrio pelo capacitor, que fornece a corrente de sada.

Figura 20 Flyback: Armazenamento de energia [t0;t1].

Figura 21 Flyback: Transferncia de energia [t1;t2].

Figura 22 Flyback: Repouso [t2;t3].


43

Figura 23 Flyback: Principais formas de onda.

No modo descontnuo, a energia armazenada no ncleo durante a saturao do


transistor totalmente transferida para a sada durante seu corte. Assim, tanto a
energia inicial no ncleo quanto a corrente de coletor sero sempre nulas no incio
da conduo do transistor. Lembrando que no circuito flyback utilizado o transistor
o FET cujo dreno fica no pino 2 do 1M0380 e a fonte (source) ligada ao pino 1.
Chamando de IM a corrente no fim do perodo de conduo do transistor, tem-se:

VE L p
, onde a razo cclica.

44

IM
T

[Equao 24]

Assim que o diodo comea a conduzir, por ele passa uma corrente que decresce
linearmente, conforme a forma de onda (D) da Figura 23, at chegar a zero, no
tempo T (onde dado por 1- ). Essa corrente se relaciona com a corrente de
pico no primrio IM, pois a energia no instante do corte deve ser constante e igual a:
Ep

1
L p I M2
2

[Equao 25]

Que no secundrio poder ser expressa por:


ES

1
LS I D2
2

[Equao 26]

Igualando as equaes 25 e 26 tem-se:

I D I M

[Equao 27]

como

Np
NS

[Equao 28]

Pode-se escrever:
VS LS

IM
T

[Equao 29]

Assim, para compensar variaes em IM deve-se variar , portanto, o ngulo de


conduo do secundrio diretamente proporcional corrente de sada. Entretanto
a energia em cada ciclo deve ser a energia necessria para manter a corrente de
sada a uma tenso constante, assim:

PS
f

Igualando as equaes 25 e 30, encontra-se:

45

[Equao 30]

2 PS
f Lp

IM

[Equao 31]

Substituindo o IM da equao 31 na Equao 24, pode-se obter o Lp.

Lp

Substituindo PS por

VS2

RS

V E 2
2 PS . f

[Equao 32]

, na Equao 32, obtm-se:

VS VE

RS
2 f Lp

[Equao 33]

A Equao 33 fornece a funo de transferncia do conversor Flyback mododescontnuo. Nota-se que tanto variaes em VE quanto em RS, contribuem para
variaes em . Assim, dever ser mximo para VEmin e ISmax, o que implicar em
max, logo para VEmin e ISmax deve-se ter:

max max 1

[Equao 34]

A relao de espiras deve ser calculada por:

max VE min
VS 1 max

[Equao 35]

O ngulo de conduo pode ser calculado por:

2 f LS
RS

[Equao 36]

E o capacitor pode, ento, ser calculado pela equao a seguir:

N I M
C

IS
2

2 f LS
RS

2 N I M VC f

46

[Equao 37]

2.2.2.2.1 - Clculo do Transformador


Considera-se para os clculos a tenso de entrada entre 130V e 350V e
adotando um mximo de 0,5, corrente de sada mxima de 1A, mnima de 0,1A,
tenso de sada de 28V e queda de tenso no diodo de 1,5V, bem como a
freqncia de trabalho do 1M0380, que de 67kHz.

Potncia de sada:
P0 V0 I 0 28 1,51 29,5W

Indutncia no primrio:

Da Equao 32, tem-se:

Lp

max VE min 2
2 PS . f

0,5 1302
2 29,5.67k

1,068mH

Assim, adota-se uma indutncia de 900H.

Corrente no primrio:

Utilizando a Equao 31 tem-se que:

IM

2 PS
2 29,5

0,989 A
f Lp
67 k 0,9m

Energia:

Da Equao 30 obtm-se:

PS 29,5

440J
f
67k

Ncleo EE
2 E 104
Ap
k k B
u j max

T=30C; kj=397; ku=0,4; B=0,3T; z=1,136


1,136

2 440 10 4

Ap
0,4 397 0,3

47

0,1468cm 4

[Equao 38]

Ncleo EE30/15/7: Ap=0,71cm4; le=6,69cm; Ae=0,597cm

0,597 10 4 0,32
A2 B 2
Al e

365nH / esp 2
2 E
2 440
Lp

Np

Al

900
50esp.
365n

[Equao
39]
[Equao
40]

Assim, tem-se 50 espiras no primrio, para o ncleo EE30/15/7, conforme


[DATASHEET EE30/15/7]. E a rea de cobre calculada a seguir:
J k j Ap j 397 0,9 0,12 402 A / cm 2

max

I pRMS I M

I pRMS

ACu

0,989 0,5

404mA [Equaes 41, 42 e

404m
0,001005cm 2
402

43]

Assim, para o enrolamento primrio um fio 26AWG ser suficiente, com 50


voltas. Para o secundrio, da Equao 32 substituindo VE por VS e PS por

VS2

RS

, tem-

se,

LS

2
max
RS 0,5 2 28

52,2 H
2 f
2.67 k

[Equao
44]

E da Equao 40, retira-se:

NS

LS
52,2

11,95 12esp.
Al
365n

Assim, tem-se que o secundrio dever apresentar 12 espiras, com a rea de


cobre calculada a seguir, utilizando as equaes 27, 42 e 43.
I D N I M
I SRMS I D
ACu

max
I S RMS
J

50
0,989 4,12 A
12
4,12 0,5

1,68 A

1,68
0,00418cm 2
402

48

Assim, para o secundrio 12 voltas de dois fios 24AWG sero suficientes.

2.2.2.2.2 - Clculo do Capacitor


Para o clculo do capacitor basta substituir os valores j calculados na equao
37, com uma variao de tenso na sada no maior que 0,5%, o que equivale a
14mV.

N I M
C

IS
2

2 f LS
RS

2 N I M VC f

2 67k 52,2
28
305F
2 4,12 14m 67k

4,12 12

Assim, pode-se utilizar um capacitor de 470F, com certa folga.

2.2.2.2.3 - Clculo do Diodo


O diodo deve suportar uma corrente mdia de 1A, que a corrente de sada,
com picos repetitivos no valor de ID que de 4,12A, e suportar altas freqncias, por
isso utiliza-se um diodo UF.
Foi utilizado o diodo UF5404, que satisfaz com folga as necessidades, pois tem
um tempo de recuperao reversa de menos de 50ns, conforme a Tabela 2 e
suporta uma corrente mdia de 3A e uma corrente de pico repetitivo de 30A,
conforme a Tabela 3.
Tabela 2: Tempo de recuperao reversa do UF5404

49

Tabela 3: Mxima tenso reversa, corrente mdia e corrente de pico repetitivo do


UF5404

2.2.2.3 - Circuito de Controle


O principal elemento do circuito de controle o integrado TL431[6]. Este
componente um regulador de preciso ajustvel, a seguir tem-se seu diagrama de
blocos interno para maior compreenso.

Figura 24 Diagrama de blocos interno do TL431.

E o circuito de controle encontra-se na Figura 25:

50

Figura 25 Circuito de controle do Flyback.

A lgica do controle apresentada no circuito da Figura 25, funciona da seguinte


maneira: primeiramente o TL431[6] mantm uma tenso de 2,5V na referncia,
assim atravs do divisor resistivo obtido atravs dos resistores de 2,2k e 270,
bem como do trimpot de 1k, regula-se a tenso que se deseja na sada, com estes
resistores obtm-se uma tenso entre 22,87V e 32,13V, conforme segue:
VREF
V0

R1
V0
R1 R2

R1 R2
V REF
R1

Rtrimpot 0
V0

V0

270 2,2k 0
2,5 22,87V
270
Rtrimpot 2,2k
270 2,2k 1k
2,5 32,13V
270

Assim, quando a tenso na sada passa do valor estipulado, o TL431[6] ir


consumir mais corrente em seu catodo, o que ir provocar um aumento na corrente
no diodo do optoacoplador 4N25, diminuindo a tenso sobre o transistor acoplado ao

51

mesmo (4N25), fazendo com que a tenso que vai ao pino de realimentao do
1M0380 [5] diminua, reduzindo-se, assim, a razo cclica.
E, quando a tenso na sada cai abaixo do nvel correto, o catodo do TL431[6]
ir deixar de demandar corrente, fazendo com que a corrente no diodo do
optoacoplador diminua, o que ir provocar um aumento na tenso coletor emissor do
transistor do optoacoplador, aumentando assim, a tenso na realimentao do
1M0380[5], fazendo com que a razo cclica do mesmo aumente. Mantendo, assim,
a tenso na sada estvel no valor desejado. Os capacitores do circuito funcionam
como filtro para os rudos.

2.2.2.4 - Limitador de Corrente


O circuito limitador de corrente ser analisado utilizando a Figura 26:

Figura 26 Circuito do limitador de corrente.

Quando a corrente de sada, representada na Figura 26 por I1, cresce, ela causa
um aumento da tenso nos resistores em srie com a sada, cuja associao em
paralelo pode ser representada por um resistor de 0,5, assim, para correntes

52

maiores que 1A, essa associao passa a ter uma queda de tenso maior que 0,5V,
como pode-se notar em 1.
Com essa tenso, o transistor BC557 comea conduzir, gerando uma pequena
corrente, I2, que ir polarizar o transistor BC546 em 2, gerando a corrente I3, que ir
aumentar a corrente no diodo do optoacoplador em 3.
Independentemente da ao de controle do TL431[6], que ir perder sua
capacidade de compensar a queda de tenso que esta ao ir causar, a razo
cclica diminuir, pois aumentando a corrente no diodo do optoacoplador, a tenso
no coletor-emissor de seu transistor ir reduzir, diminuindo a tenso no pino de
realimentao do 1M0380[5], que ir provocar essa diminuio da razo cclica.

2.3 - Concluso (Carregador de Baterias)


Assim, pode-se concluir que o conversor flyback foi projetado para fornecer
sada uma tenso de aproximadamente 28V, para carregar as baterias, contando
ainda com uma proteo contra curto circuito, pois baterias com baixa carga ou
descarregadas possuem valores de tenso bem abaixo do valor nominal da sada do
circuito e possuem ainda grande capacidade de absorver corrente, o que poderia ser
muito prejudicial a um circuito sem tal proteo.
Para o controle utilizou-se um integrado dedicado ao controle de conversores
forward e flyback, para o transformador, utilizou-se um ncleo EE 30/15/7 com 50
espiras de fio 26 AWG no primrio, 12 espiras com dois fios 24 AWG e 6 espiras de
fio 25 AWG no tercirio, que alimentar o integrado 1M0380[6]. Para o capacitor
utilizou-se um de 470F, sendo o mesmo mais que suficiente para a implementao
realizada.

53

3 - Conversor DC/DC

3.1 - Introduo
Ser apresentado neste captulo o circuito do conversor DC/DC elevador, que
funcionar sempre que a rede cair, retirando energia da bateria, com uma tenso
entre 22V e 28V (cada uma das baterias tem uma tenso nominal de 12V,
totalizando 24V), e convertendo para uma tenso DC de 260V.
Alm do conversor, sero tratados alguns circuitos adicionais, como a
alimentao da placa de controle, a amostragem da carga da bateria e os drives das
chaves (MOSFET), bem como a amostragem da realimentao, que ir para o
controle.
A Figura 27 mostra o circuito completo do conversor DC/DC, que apresenta
tanto caractersticas de um conversor forward quanto do push-pull. Seu
funcionamento ser detalhado no decorrer deste captulo.

54

Figura 27 Circuito completo do conversor DC/DC.

3.2 - Procedimentos de Projeto


Para o projeto deste conversor, as equaes utilizadas so provenientes de
[TREVISO, 2005].

3.2.1 - Circuitos Adicionais


Neste

tpico

trata-se

de

alguns

circuitos

para

o conversor

DC/DC.

Primeiramente pode-se observar na Figura 27, as fontes de tenso V5 e V6 no lado


esquerdo do esquemtico, que representam as baterias em srie. Ligado ao mesmo
ponto que o plo positivo da bateria est a sada VBAT, que vai para o controle, e
amostra a tenso da bateria.
Ligado ao mesmo ponto est a entrada do regulador LM7812, que fornece um
barramento de 12V que alimenta o controle, por meio das sadas +12 e GND, e os
drives dos MOSFETs. Entre o GND e a entrada e entre o GND e a sada existem

55

capacitores de 470F para garantir estabilidade ao regulador, bem como prximo


aos drives, para filtrar o barramento.
H, tambm, no canto direito da Figura 27, um divisor resistivo (resistor de
220k e trimpot de 20k), que amostra a tenso na sada, e envia esta
realimentao ao controle atravs da sada FB. E, finalmente, um fusvel na sada
das baterias limitando a sua corrente em 50A, e em paralelo com as baterias, depois
do fusvel, um diodo UF5404, que dever entrar em conduo quando os plos das
baterias forem ligados invertidos, queimando o fusvel.

3.2.2 - Snubber
Estes dispositivos so utilizados para se amortecer as oscilaes de alta
freqncia geradas durante a comutao dos semicondutores de potncia, devido s
suas indutncias parasitas e capacitncias intrnsecas e so usados para evitar
picos elevados de tenso nos semicondutores, evitando que os mesmos sejam
danificados. E, por ser um circuito de baixa potncia, este no adiciona grande custo
ao projeto, propiciando ao mesmo, qualidade. Tem-se a seguir o circuito do snubber
implementado para proteger as chaves do conversor DC/DC.

Figura 28 Circuito do snubber.

3.2.2.1 - Clculo do Snubber


Primeiramente dimensiona-se o resistor para que este dissipe 1,0W para a
tenso mxima que a chave dever suportar. Para o circuito adota-se o dobro da
tenso mxima de entrada, 28V, assim:

56

2 V
R

iRMS

56 2
3,1k
1

Porm, como pode-se notar utiliza-se um resistor maior, de 4,7k. Para o


capacitor, deve-se dimensionar uma queda para 90% de sua tenso:

VC1 VC 0 e
C

1
t
RC

t
V
R ln C1
VC 0

Para um tempo de descarga do valor do perodo (f 15kHz)


66,7
C
134,6F
4,7 k ln 0,9
Como se pode notar na Figura 28, foi usado um capacitor de 47nF e um diodo
UF4004, mas os valores aqui calculados, como j dito so empricos, assim, os
valores utilizados podem apenas ser prximos dos calculados, como visto.

3.2.3 - Conversor
3.2.3.1 - Funcionamento do Circuito
Para explicar o funcionamento deste conversor, foi montado um circuito
esquemtico, apresentado na Figura 29, que simplifica o circuito, retirando-se
componentes em srie e paralelo, bem como componentes adicionais para melhorar
seu desempenho.

Figura 29 Circuito simplificado do conversor.

57

Este circuito, como se pode notar, compe-se de nada mais que dois
conversores forward compartilhando do mesmo indutor e capacitor do secundrio, e,
cada um trabalhando alternadamente, ou seja, funcionando entrelaados.

Figura 30 Circuito de um conversor Forward convencional.

Figura 31 Formas de onda de um conversor Forward convencional.

58

A partir daqui, todas as referncias dos componentes levaro em conta a Figura


29, enquanto que todas as referncias formas de onda levaro em considerao a
Figura 31. Assim, num primeiro momento, a chave T1 posta em conduo,
colocando uma tenso igual a Vi em L1, e passando pela mesma uma corrente
apresentada na forma de onda 3, enviando energia para a sada, aparecendo assim
uma corrente representada pela forma de onda 4 no diodo D6, que ir para o
indutor.
Aps essa etapa, a chave T1 ser colocada em corte, surgindo uma corrente de
desmagnetizao em L3 e D2 (forma de onda 6), surgindo ainda uma tenso na
chave T1. A corrente do indutor Lind fechar o lao atravs do diodo D8.
Aps alguns instantes, a chave T2 entrar em conduo, porm nada mudar
para as formas de onda mencionadas acima, que esto relacionadas com um outro
transformador, independentemente. Surgir agora a tenso Vi no enrolamento L1,
passando a corrente da forma de onda 3, porm atrasada em meio perodo, pela
chave T2. Assim, essa energia ser enviada para a sada atravs do enrolamento
L2, passando pelo diodo D7, com a corrente da forma de onda 4, porm tambm
atrasada em meio ciclo de chaveamento.
Ao abrir a chave T2, passar pelo diodo D3, uma corrente de desmagnetizao,
como mostrada em 6 porm tambm atrasada de 180. E o diodo D8 voltar a
conduzir a corrente do indutor Lind.
Assim, as formas de onda dos dois circuitos (de cada transformador) sero as
mesmas, como mostrado na Figura 31, porm defasadas de 180 uma da outra,
tendo uma razo cclica menor que 0,5 para cada chave. Assim, tem-se na sada a
forma de onda da Figura 32.

Figura 32 Formas de onda de tenso no diodo D8 e forma da corrente no indutor.

59

3.2.3.2 - Clculo dos Transformadores


Como os dois transformadores devero ser iguais, apenas um clculo ser
efetuado. Assim projetou-se o transformador para uma entrada entre 22V e 28V,
uma tenso de sada de 130V (metade da tenso de sada desejada, uma vez que
usou-se dois transformadores), uma freqncia de trabalho de 15kHz e uma
potncia de sada de 350W, pois cada transformador fornecer metade da potncia
de sada desejada, e a potncia de sada total dever ser de 700W. Primeiramente
ser definido a mxima razo cclica como 0,45, deixando certa folga em relao
razo cclica mxima terica, de 0,5, assim pode-se obter a razo cclica mnima:

Dmax Vmax

Dmin Vmin
0,45 28

Dmin 22
Dmin

[Equao
45]

0,45 22

0,353
28

Para a obteno da relao de espiras, utiliza-se a funo de transferncia do


conversor para uma razo cclica mxima. Assim, obtm-se a seguinte relao de
espiras:

D Vi
VD D
N
D Vi
V0 VD D
N
Dmax Vi min
0,45 22
N

0,0757
V0 VD Dmax 130 1,5 0,45
V0

[Equao 46]

A seguir calcula-se a densidade de fluxo, para a variao da tenso de entrada,


de modo que a densidade de fluxo nunca ultrapasse o valor em que o ncleo
comea a saturar. Assim:

Vmin
22
Bmax
0,35 0,275T
Vmax
28

[Equao 47]

De posse destes dados calculados pode-se calcular o coeficiente de densidade


de corrente nos fios (kj), utilizando um T de 30, e o Ap do ncleo necessrio:

60

kj 63.35 40 0, 54 464,36
2,65 PS 10 4
Ap
kj B f

[Equaes 48 e

1,136

2,65 350 10 4

464,36 0,275 15k

6cm 4

49]

O ncleo determinado o EE42/21/20, conforme [DATASHEET EE 42/21/20],


cujo Ap de 6,14cm4; le=9,7cm; Ae=2,4cm2. Agora, a partir da escolha do ncleo,
calcula-se o nmero de espiras no enrolamento primrio.

N1

Vi min Dmax
22 0,45

4,54esp.
Ae B f
2,4 104 0,275 33k

[Equao 50]

Adota-se, ento, 5 espiras no primrio. Como j foi calculado a relao de


espiras, pode-se obter o nmero de espiras no secundrio.

N1
N
5
N2 1
66esp.
N2
N 0,0757

[Equao 51]

A densidade de corrente nos fios ser:

J kj Ap 0,12 464,36 6,14 0,12 373,48 A / cm 2

[Equao 52]

Calculados os nmeros de espiras no primrio e no secundrio, pode-se passar


para o clculo da rea de cobre que ser necessria para esses mesmos
enrolamentos. Primeiramente, para um fator de indutncia do ncleo de
Al=4000nH/esp (dado do fabricante), pode-se calcular a indutncia no primrio.
L p Al N12 4 10 6 52 100H

[Equao 53]

Donde pode-se obter a corrente de magnetizao no primrio:

I mg

Dmax Vi min
0,45 22

6,6 A
Lp f
100 15k

[Equao 54]

Sendo o seu valor RMS:

I mgRMS I mg

D max

6,6 0,45

61

2,556 A

[Equao 55]

O que implicar uma rea de cobre de:

ACu

I RMS
2,556

0,0055cm 2
J
464,36

[Equao 56]

Assim, tem-se que o enrolamento da desmagnetizante dever ter a rea de


cobre calculada acima, sendo que trs fios 24 AWG sero suficientes, tendo o
mesmo 5 espiras, como o primrio. A corrente RMS no secundrio ser:

I SRMS I 0 I 0 min Dmax 2,69 0,269 0,45 1,985 A

[Equao
57]

E a rea de cobre no enrolamento secundrio, conforme a equao 56, deve ser


de:

ACu

I RMS
1,985

0,00427cm 2
J
464,36

O que d 3 fios 24 ou 25 AWG, enquanto para o primrio usa-se fita de cobre


para os enrolamentos, cuja corrente RMS respectiva rea de cobre devem ser:
I SRMS
1,985
I mgRMS
2,56 28,78 A
N
0,0757
I
28,78
ACu RMS
0,06197cm 2
J
464,36

I pRMS

Finalizando-se, assim, o clculo dos transformadores.

3.2.3.3 - Clculo do Filtro de Sada


Para o clculo do filtro de sada, tanto do indutor, quanto do capacitor, utilizamse as equaes de um push-pull [Treviso, 2005], assim, a indutncia mnima do
indutor de sada deve ser:

Lind

Dmin 1 2 Dmin Vi max


0,353 1 2 0,353 28

2,378mH
2 N I S min 2 f
2 0,0757 0,269 2 15k

[Equao
58]

Para a escolha do ncleo precisa-se primeiramente calcular a energia mxima


no indutor, dada pela Equao 59:

62

1
1
2
2
Lind I S I S min 2,378m 2,69 0,269 10,41mJ [Equao 59]
2
2

A partir da energia calculada, pode-se fazer a escolha do ncleo, considerando


um fator de utilizao (ku) de 0,4, e uma variao de temperatura de 30C, o que
nos leva a um kj de 397, segundo a Equao 48, tem-se um Ap de:
z

2 E 10 4
2 10,41m 10 4

Ap
ku kj B
0,4 397 0,35

1,136

4,48cm 4

[Equao 60]

Assim, o ncleo a ser utilizado deve ser o ncleo EE 42/21/15, que tem um Ap de
4,66 cm4, Ae=1,82 cm e l e=9,7 cm. Calcula-se, agora, o fator de indutncia.

A2 B 2
1,82 10 4 0,352
Al e max
194,89 nH
esp 2
2E
2 10,41m

[Equao 61]

E, portanto, o indutor dever ter um entreferro, cuja largura calculada a seguir:

Al le
194,89n 9,7 10 2

82,65
0 Ae 4 107 1,82 10 4
l
9,7cm
lg e
1,17 mm
e 82,65

[Equaes 62 e 63]

Assim, a largura deixada entre as duas partes do ncleo deve ser da metade
deste valor, uma vez que o fluxo passar duas vezes pelo entreferro, sendo que o
entreferro deixado deve ser de 0,587mm. A seguir, tem-se o clculo do nmero de
espiras:
N

Lind
2,378m

110,46esp.
Al
194,89n

[Equao 64]

A densidade de corrente pode ser obtida atravs da Equao 52:


J kj Ap 0,12 397 4,66 0,12 330 A / cm2

Superdimensiona-se a corrente RMS usando a corrente de pico, de 2,96A.


Assim, tem-se uma rea de cobre, conforme a equao 56, de:
ACu

I RMS 2,96

0,00897cm 2
J
330
63

O que leva a 3 fios 22 AWG.


Para o clculo do capacitor, deve-se arbitrar a mxima variao na tenso de
sada, que ser considerada 0,5%, ou 1,3V. Assim, a capacitncia necessria de:

2,378m 2,69 2
L I S2
C

25,45F
2 V s V s
2 1,3 260

[Equao 65]

Porm, um ponto crtico no projeto do capacitor a perda atravs da resistncia


srie equivalente (ESR), que dada por:

VESR 2 I S ESR
ESR

V ESR
1,3

0,24
2 IS
2 2,69

[Equao 66]

O capacitor utilizado foi o de 220F/400V, que tem 0,25 de ESR, como pode
ser visto em [DATASHEET CAPACITORES ELETROLTICOS], e este, ainda est
em paralelo com os capacitores do retificador, que possuem resistncia srie
equivalente ainda mais baixa. Assim, uma vez que o capacitor equivalente utilizado,
tem 10 vezes mais capacitncia e uma ESR menor que suficiente, de se esperar,
de acordo com as equaes 65 e 66, um ripple menor que o mximo dimensionado.

3.2.3.4 - Clculo dos Semicondutores


Os diodos D2 e D3 da Figura 29, devem suportar uma corrente de pico repetitivo
de 3A, como encontrado na equao 54. Bem como uma tenso reversa de duas
vezes a entrada mxima, ou seja, 56V. Assim, o diodo UF5404 suprir essas
caractersticas com folga, como j apresentado na Tabela 3.
J os diodos D6 e D7 devem suportar uma corrente de 3,4A, como calculado
pela Equao 57, e uma tenso de pico repetitivo do dobro da tenso dos pulsos no
secundrio.

V 2

Vi max
28
2
740V
N
0,757

64

Para suportar estas necessidades foram colocados 4 diodos UF5404 em srie


paralelo, o que permite o dobro da corrente e da tenso do diodo, apresentados na
Tabela 3, satisfazendo, assim, as necessidades do projeto.
O diodo D8 dever suportar uma tenso reversa no valor desses picos no
secundrio, de 370V, sendo suficiente um diodo UF5406, cujos dados tambm se
encontram na Tabela 3.
Os transistores devem tambm suportar uma tenso do dobro da tenso mxima
de entrada, ou seja, 56V, e uma estimativa da corrente que eles devem suportar
conduzir, dada pela corrente na sada, dividida pela relao de transformao.

IS
2,69

35,53 A
N 0,0757

Assim, utiliza-se 3 MOSFETs IRFZ45 em paralelo, satisfazendo assim as


necessidades, como pode ser conferido na Tabela 4:

Tabela 4: Caractersticas do IRFZ45

65

3.3 - Concluso (Conversor DC/DC)


Assim, pode-se dizer que as chaves (MOSFETs) e diodos utilizados devem
satisfazer com folga s necessidades do circuito, conforme mostrado na seo
3.2.3.4. O transformador calculado utiliza um ncleo de ferrite EE 42/21/20, com 5
espiras no primrio, de fita de cobre, com rea de cobre de 0,0564 cm, 66 espiras
no secundrio, com 3 fios 25 AWG, e 5 espiras na desmagnetizante, de 3 fios 24
AWG.
O indutor calculado, dever usar ncleo de ferrite EE42/21/15, com 110 espiras,
utilizando 3 fios 22 AWG. E, finalmente, foi utilizado um capacitor de 220F, com
tenso de 400V.

66

4 - Inversor

4.1 - Introduo
Nesta seo estuda-se o ltimo dos blocos do no-break, o inversor, ou seja, um
conversor DC/AC. Quando a rede est ativa este bloco alimentado pela tenso de
sada do retificador, retirando sua energia da rede. Quando h uma falta de energia
na rede este bloco passa a ser alimentado pelo conversor DC/DC, retirando sua
energia das baterias, de modo que no falte energia na sada, sendo esta a idia
central do no-break.
Para gerar uma onda senoidal a partir de uma tenso contnua, este circuito
utiliza-se de modulao PWM, assim, modula-se os pulsos a de maneira a se ter
uma senide em PWM, isto feito comparando-se uma senide com uma triangular,
porm este assunto deve ser tratado no controle. Da a onda em PWM passa por um
filtro, obtendo-se, ento a senide na sada.
Para tanto, utiliza-se um inversor senoidal bipolar PWM. O inversor bipolar PWM
gera pulsos de largura varivel, de modo que vrios harmnicos podem ser
eliminados. Para obter a modulao PWM, uma onda senoidal e uma onda
triangular, geradas pelo controle, so comparadas.
Assim, a tenso de sada PWM, varia de +Vcc para Vcc, dependendo da
comparao entre as ondas senoidal e triangular. Essa modulao produz pulsos
cujos harmnicos de baixa ordem podem ser eliminados. Devido simetria, os
harmnicos mpares so praticamente eliminados. Portanto, o filtro deve ter uma
freqncia de corte menor que a freqncia da onda triangular e maior que a
freqncia da onda senoidal.

67

Na Figura 33, tem-se o circuito esquemtico completo do inversor, o qual ser


explicado nas sees a seguir.

Figura 33 Esquemtico do circuito do inversor.

68

4.2 - Procedimentos de Projeto


4.2.1 - Circuitos para o Controle e Proteo
Conforme Figura 33, h um pequeno sensor de corrente (RS) ligado ao
barramento DC, que possui uma resistncia muito baixa. Assim, toda a corrente que
vai para o terra passa por ele, gerando uma pequena tenso, proporcional corrente
na carga, tendo-se assim uma amostragem da forma de onda na sada, que
enviada para o controle.
Tem-se ainda as sadas SADA 1 e SADA 2, que correspondem a uma
amostragem da tenso de sada, conforme pode-se notar na Figura 34:

Figura 34 Circuito de amostragem da tenso de sada.

Este circuito, tem um divisor resistivo, que divide a tenso na carga em cerca de
cem vezes, e dois buffers para manter o sinal, enviando-o para o controle, uma
amostragem da diferena de potencial sobre a carga.
69

Ainda na Figura 34, tem-se um triac, que acionado sempre que a tenso de
sada vence os quatro diodos zener de 51V, totalizado 204V, assim, quando a
tenso de sada ultrapassa esse valor no pico, o triac dever ser acionado,
causando um curto e rompendo o fusvel de proteo existente entre os capacitores
do barramento e o inversor.

4.2.2 - Drives das Chaves


Os drives das chaves servem para fornecer a tenso e corrente necessrias
para coloc-las em conduo ou em corte rapidamente, bem como para isolar os
pulsos das mesmas. Na Figura 35, tem-se o esquemtico do circuito dos drives.

Figura 35 Esquemtico do circuito dos drives das chaves.

70

Na Figura 35 tem-se apenas o esquemtico dos drives da entrada PINV-1,


sendo que o circuito para os drives da entrada PINV-2 o mesmo e cada uma das
entradas gera dois sinais, definidos como B e C, no caso de PINV-1, e D e E, no
caso de PINV2 (Figura 33). Cada um desses sinais acionar duas chaves em
paralelo, para aumentar sua capacidade de corrente. Tanto os sinais B e C, quanto
os sinais D e E so iguais, acionando dois grupos de chaves ao mesmo tempo.
Agora ser explicado o funcionamento dos circuitos dos drives, para apenas um
sinal, pois todos os quatro circuitos sero iguais.
Logo na entrada de PINV-1 h um par de transistores. Se o sinal em PINV-1 for
de nvel alto, o transistor BD139 entrar em conduo levando a tenso de 12V ao
gate do IRF840, fazendo-o entrar em conduo.
Surgir ento 12V na bobina primria do transformador, que dobrar esta tenso
em seu secundrio, j que a relao de transformao deste transformador de
pulsos de 1:2. Quando houver nvel baixo em PINV-1 o transistor BC327 entrar
em saturao, descarregando o capacitor no gate do IRF840, fazendo-o entrar em
corte.
Assim que o MOSFET entrar em corte, a bobina dever desmagnetizar-se
atravs dos trs diodos zener em srie, de 150V cada, assim a tenso de
desmagnetizao ser de 450V, muito maior que a tenso dos pulsos, tendo-se
assim, uma rpida desmagnetizao, permitindo-se razes cclicas bem altas. Note
que o MOSFET dever suportar esta tenso, por isso foi usado um IRF840, que
suporta tenses de 500V.
No secundrio do transformador, quando houver pulso positivo o diodo na sada
do enrolamento conduzir, carregando o capacitor de 47F via resistor de 1k e
diodo 1N4148, travando sua tenso em 10V, graas ao zener 1N4740, assim, entre
B1 e B2 tem-se: 24V - 10V - 2V = 12V.
Na desmagnetizao, a tenso ser de 900V no secundrio, e far com que o
transistor BC327 (PNP) entre em saturao por meio do divisor resistivo,
descarregando o capacitor do gate do MOSFET, enquanto o capacitor de 47F, far
com que uma tenso negativa de -10V aparea entre B1 e B2, garantindo, assim, o
corte das chaves. Os diodos zener 1N4747 garantiro uma proteo de tenso nas
chaves, protegendo-as contra transitrios rpidos superiores a 20V.

71

4.2.3 - Snubber
Como este assunto j foi tratado na seo 3.2.2, passa-se diretamente ao
clculo dos componentes para o mesmo. A seguir tem-se a Figura 36 com o snubber
para as chaves do inversor:

Figura 36 Snubber das chaves do inversor.

Agora adota-se os mesmos clculos anteriores dos snubbers, assim, para uma
dissipao de 1,0W, e uma tenso do valor do pico de tenso do retificador como
dobrador, que pode ser vista na seo 1.2.1.2, obtem-se uma resistncia de:
R

2
Vmax
395 2

156k
1
1

Assim, utiliza-se uma resistncia de 150k. A seguir, calcula-se os capacitores


para uma descarga mxima de 90%, segundo os mtodos utilizados anteriormente.

33,3
2,1F
150k ln 0,9

Foi deixada uma boa folga para o capacitor, e o diodo usado foi o UF4007, que
suporta 700V de tenso reversa.

4.2.4 - Funcionamento do Inversor


A seguir simplifica-se o circuito do inversor, apenas para explicar o seu
funcionamento, retirando-se os snubbers, circuitos para controle e proteo, bem
como unindo componentes em srie e paralelo em um s.

72

Figura 37 Circuito simplificado do inversor.

Quando houver um pulso positivo em PINV-1, as chaves T1 e T4 sero


fechadas, ento surgir a tenso do barramento DC em BRA1, e do terra em BRA2,
e quando houver um pulso positivo em PINV-2 as chaves T2 e T3 sero fechadas,
aparecendo a tenso do barramento DC em BRA2 e 0V em BRA1.
Adotando BRA2 como referncia, e a tenso do barramento de 260V (apenas
para explicar o circuito). Sempre que houver um pulso em PINV-1, tem-se em BRA1
260V, e, quando houver um pulso em PINV-2, tem-se em BRA1 uma tenso de 260V, assim, obtm-se uma onda PWM de 30kHz (freqncia adotada para o
inversor).
A tenso mdia dessa onda PWM ser positiva sempre que a razo cclica de
PINV-1 for maior que a de PINV-2, sendo mxima quando a razo cclica de PINV-1
for mxima, e ser negativa sempre que a razo cclica de PINV-2 for maior que a
de PINV-1, sendo mxima quando a razo cclica de PINV-2 for mxima. Sendo nula
quando as duas razes cclicas forem iguais.
Assim, pode-se controlar a tenso mdia da onda PWM, atravs dos pulsos de
PINV-1 e PINV-2, de modo que este controle resulte em uma onda senoidal de
60Hz, e 115VRMS. Da, o filtro se encarrega de transformar a onda PWM em uma
73

onda senoidal, filtrando as freqncias altas dos pulsos de 30kHz e deixando passar
a senoidal de 60Hz, modulada.

4.2.5 - Carga Proposta


Para uma potncia de sada de 700W, e uma tenso RMS de 115V, pode-se
facilmente calcular a corrente RMS para uma carga resistiva, atravs da equao de
potncia para cargas puramente resistivas, a seguir.

P VRMS I RMS
I RMS

P
VRMS

700
6,086 A
115

[Equao 67]

Porm, nem todas as cargas so puramente resistivas, e um caso crtico,


embora comum, o de um retificador como carga. Assim, supe-se um retificador
como carga, com potncia de entrada de 700W, e ripple de 10%, com uma tenso
de entrada estvel em 115V, como o caso da sada do no-break projetado. Temse, ento uma tenso de pico e uma tenso mnima de:

V p 115 2 162,63V
Vmin 0,9 V p 146,37V
Assim, segundo a equao 8, a capacitncia para esta carga dever ser de:
C

Pin
2

f Vp V

2
min

700
2322F
60 162,63 2 146,37 2

Adota-se para o capacitor da carga um valor de 2200F. Assim, atravs das


equaes encontradas em [BOLOGNINI, 2004], pode-se calcular a corrente mxima
que os indutores devero suportar:
115 2
18,89
700
Vp
115 2

32,61V
2 f C R 2 60 2,2m 18,89
R

Vripple

D max

Vp
I L 1 2

2 VR

700
115 2

1 2
66,48 A
115

32
,
61

74

[Equaes 68 e 69]

Da Equao 4 pode-se calcular o tempo de carga e, finalmente, atravs da


equao 6, a corrente de carga:

cos1 Vmin
V
cos 1 0,9
p

tc

1,196ms
2 f
377
2322F (162,63 146,37)
ichg
31,56 A
1,196ms

Assim, pode-se utilizar estas cargas estimadas para clculos a seguir.

4.2.6 - Dimensionamento das Chaves


As chaves MOSFET usadas foram MOSFETs IRFP460, pois como a tenso
mxima que as chaves devem suportar a tenso mxima do barramento, de quase
400V, conforme visto na seo 4.2.3.
E a corrente RMS na carga, para uma carga resistiva mxima ser em torno de
6 A, calculado pela Equao 67, como cada uma das chaves conduzir durante
apenas metade do tempo, tem-se uma corrente mdia nas chaves de:

I med

6,087
3,04 A
2

[Equao 70]

Assim, para dar certa folga foram colocados dois MOSFETs IRFP460 em
paralelo, cada um com uma corrente direta de 13A, a 100C, para uma corrente DC,
como mostrado na Tabela 5. Considerando que esta capacidade devido ao efeito
pelicular caia para 25%, tem-se uma corrente de 3,25A. Com os dois MOSFETs em
paralelo tem-se uma corrente de 6,5A, suportando, ainda as estimativas, bem como
uma margem de segurana.

75

Tabela 5: Caractersticas do IRFP460

4.2.7 - Clculo do Filtro de Sada


Para o clculo do filtro arbitra-se uma freqncia de corte de 500Hz (60 vezes
menor que a freqncia da onda triangular), assim, pode-se calcular os valores de
capacitncia e indutncia de modo a se obter este filtro. Primeiramente apresenta-se
o clculo do LC.
fC
1
L C
2 f C

1
2 L C

7

1,013 10
2

500

[Equao 71]

Assim, ao utilizar dois indutores de 500 H, pode-se obter uma capacitncia de:
C

1,013 10 7 1,013 10 7

50,66F
L
2m

Utiliza-se 4 capacitores, e adota-se para cada capacitor de um valor de 16F,


totalizando, assim, 64F, donde obtm-se a freqncia de corte de:

fC

1
2 L C

1
444,85Hz
2 2m 64

Dada a corrente mxima encontrada atravs da equao 69 na seo 4.2.5,


pode-se calcular a energia que o ncleo dever suportar, atravs da Equao 59.

76

1
1
2
2
Lind i D max 1m 66,48 2,21J
2
2

A partir do clculo da energia, pode-se fazer a escolha do ncleo, considerando


um fator de utilizao (ku) de 0,4, e uma variao de temperatura de 30C, o que
leva a um kj de 397 segundo a Equao 48, ento tem-se um Ap segundo a
Equao 60, de:
z

2 E 10 4
Ap
ku kj B

2 2,21 10 4

0,4 397 0,35

1,136

1972cm 4

Assim, nota-se que no ser possvel a implementao desse ncleo, devido ao


Ap ser muito grande, no estando disponvel no laboratrio um ncleo com esse Ap.
Assim, a partir do ncleo EE65/33/26, conforme [DATASHEET EE65/33/26],
encontra-se as seguintes condies: aumenta-se a freqncia de corte para 1681Hz,
com os mesmos capacitores e propem-se uma carga com uma capacitncia de
1000F. Recalcula-se ento, o ncleo.
Vripple

Vp
2 f C R

115 2
152,63V
2 60 1m 18,89

700
115 2

1 2
46,8 A

115
2

71
,
74

Vp
i D max I L 1 2

2 VR

1
1

9

L C
8,96 10
2

f
2

1681

8,96 10 9 8,96 10 9
2 Lind

140H
C
64
1
1
2
2
E Lind i D max 70 46,8 76,71mJ
2
2
kj 63.35 40 0,54 464,36
2 E 10 4
Ap
ku kj B

2 76,71m 10 4

0,4 464,36 0,35

1,136

36,28cm 4

Para o ncleo EE 65/33/26, tem-se um Ap de 36,28 cm4, Ae=5,25 cm e le=14,7


cm. Calcula-se, agora, o fator de indutncia.

Al

2
Ae2 Bmax
5,25 10 4 0,35 2

220,07 nH
esp 2
2 E
2 76,71m

E, portanto, o indutor dever ter um entreferro, cuja largura calculada a seguir:


77

Al l e
220,07 n 14,7 10 2

49,03
0 Ae 4 10 7 5,25 10 4
lg

le 14,7cm

3mm
e
49,03

Assim, a largura deixada entre as duas partes do ncleo deve ser a metade
deste valor, uma vez que o fluxo passar duas vezes pelo entreferro, sendo que o
entreferro deixado deve ser de 1,5mm. A seguir, tem-se o clculo do nmero de
espiras:
N

Lind

Al

70
17,83esp.
220,07 n

A densidade de corrente pode ser obtida atravs da Equao 52:

J kj Ap0,12 464,36 36,280,12 301,78 A / cm2


A corrente RMS no indutor, conforme a Equao 67 de 6,086. Assim, tem-se
uma rea de cobre, conforme a Equao 56, de:
ACu

I RMS
6,086

0,02017cm 2
J
301,78

O que leva a 10 fios 24 AWG.

4.3 - Concluso (Circuito Inversor)


Assim, tem-se que as chaves do inversor, T1 a T4, sero compostas por 2
MOSFETs IRPF460 em paralelo, todas elas contando com um snubber, que sero
chaveadas atravs de drives que garantiro a conduo com uma tenso de 12V, e
o corte com uma tenso de -10V, descarregando o capacitor de gate por meio de um
transistor.
Um triac proteger a tenso de sada contra picos de tenso maiores que 204V,
e o projeto para o filtro de sada levou a 4 capacitores de 16F, e dois indutores de
70H, com 10 fios 24AWG, ncleo EE65/33/26, com 18 espiras e entreferro de
1,5mm (total de 3mm), que dever suportar uma corrente de 46,8A, com uma
freqncia de corte de 1680Hz.

78

Porm, o filtro que encontra-se implementado tem uma freqncia de corte de


440Hz, tambm 4 capacitores de 16F, e dois indutores de 500H, de ncleo
EE65/33/26,

ncleo

suportou

apenas

correntes

mximas

de

13A,

conseqentemente, suportando corrente RMS de 6A. O que no apresentar


problemas para cargas resistivas, mas o ncleo saturar para cargas como um
retificador.

79

5 - Resultados Experimentais

Nesta seo apresenta-se os resultados obtidos para o prottipo final


implementado conforme descrito nos quatro primeiros captulos. Faz-se isso em
forma de resultados parciais, de cada um dos blocos individualmente.
Na seo 5.1 sero apresentados resultados obtidos para o filtro de entrada. Na
seo 5.2, os resultados experimentais para o conversor Flyback, enquanto que, na
seo seguinte, sero apresentados os resultados obtidos em laboratrio para o
conversor DC/DC. Finalmente, na seo 5.4 sero apresentados os resultados
obtidos para o inversor, e, entre estes, a forma de onda na carga.
O teste mais importante, foi o funcionamento do circuito como um todo, os
quatro blocos integrados de maneira a se obter uma onda senoidal na sada.

5.1 - Resultados para o Retificador


O retificador implementado foi testado em laboratrio. Nesta seo, apresentase apenas as formas de onda e resultados parciais para o circuito retificador,
funcionando tanto como retificador de onda completa, quanto dobrador.
Na Figura 38, tem-se duas formas de onda, no canal 1, tem-se a forma de onda
na entrada para a bobina do rel, e no canal 2 tem-se a forma de onda no
barramento de 12V, Assim, por comparao pode-se notar o tempo que levar para
se acionar o rel.

80

Figura 38 Acionamento do rel.

Na Figura 38, quando o circuito foi ligado, surgiu ento tenso no barramento, e,
apenas decorrido algum tempo, o rel foi acionado, com uma tenso de 7,5V na
entrada do drive, que se encarregou de acionar o rel corretamente, conforme
observado em laboratrio.
Finalmente, na Figura 39, tem-se a forma de onda da sada do retificador com
uma tenso de entrada de 127V RMS, e uma carga de 100, extraindo uma
potncia em torno de 160W do no-break. Na Figura 40, tem-se a forma de onda na
sada do retificador, para uma tenso de entrada de 220V RMS, com as mesmas
condies de carga.

81

Figura 39 Sada do retificador para uma entrada de 127V.

Figura 40 Sada do retificador para uma entrada de 220V.

5.2 - Resultados para o Carregador das Baterias


Para o conversor flyback, calibrou-se a tenso de sada medindo-a atravs de
um multmetro, obtendo uma tenso de cerca de 29V, antes do diodo de sada, para
82

o qual estima-se 1V de queda de tenso, mede-se ainda a corrente em que o


limitador comea a atuar, que ficou em 0,92A, com cerca de 1,2A de corrente de
curto circuito.
A seguir, tem-se a forma de onda da sada do flyback, com a rede inserida, ou
seja, durante a carga das baterias.

Figura 41 Sada do conversor flyback durante o carregamento das baterias.

5.3 - Resultados para o Conversor DC/DC


Apresenta-se a seguir, primeiramente o pulso de um dos transformadores do
conversor DC/DC vindo do circuito de controle, na Figura 42.

83

Figura 42 Sinal na entrada do conversor DC/DC, proveniente do controle.

A seguir, na Figura 43, tem-se a tenso no dreno dos MOSFETs, bem como a
tenso no secundrio do transformador, na Figura 40.

Figura 43 Forma de onda no primrio do transformador do conversor DC/DC.

84

Figura 44 Forma de onda no secundrio do transformador do conversor DC/DC.

Na Figura 43, pode-se notar que, quando ocorrem os pulsos, a tenso nos
drenos dos MOSFETs chega a praticamente 0V. O outro terminal do enrolamento
primrio ligado ao barramento de 24V, surgindo assim uma tenso de 24V na
bobina, a oscilao que ocorre a seguir devido troca de energia entre as
capacitncias das chaves e as indutncias magnetizantes do trafo.
No secundrio, durante os pulsos, surge uma tenso dada pela tenso no
primrio dividida pela relao de espiras entre o primrio e o secundrio, surgindo
tambm uma oscilao no tempo morto (em que no h pulsos), conforme Figura
44.
E, finalmente, tem-se a tenso na sada do conversor DC/DC, aps o filtro de
sada, o barramento de 260V, que alimentar o inversor quando a rede estiver fora.
A carga utilizada na sada do no-break, durante a aquisio desta forma de onda foi
de 100, o que leva potncia de sada de 161W. Essa forma de onda
apresentada na Figura 45.

85

Figura 45 Forma de onda de sada do conversor DC/DC.

5.4 - Resultados para o Inversor


Para o inversor, recebe-se os pulsos do controle atravs das entradas PINV-1 e
PINV-2, na Figura 46, pode-se observar como ficam os pulsos PWM em uma baixa
freqncia, com 2,5ms por diviso, assim uma senide de 60Hz ocorrer a cada
6,66 divises.
Para uma melhor visualizao desses pulsos tem-se, na Figura 47 e na Figura
48, os mesmos sinais na freqncia dos pulsos, nota-se que existe apenas um
pequeno tempo morto entre eles, de modo que no conduziro os dois braos ao
mesmo tempo, o que causaria um curto entre o barramento DC e a referncia, o que
poderia trazer srias conseqncias ao circuito.

86

Figura 46 Sinais da onda PWM em PINV-1 e PINV-2 I.

Figura 47 Sinais da onda PWM em PINV-1 e PINV-2 II.

Na Figura 44, tem-se os sinais dos pulsos nos gates dos MOSFETs antes dos
resistores de 22, ou seja, aps os drives dos mesmos. Onde pode-se notar a
87

tenso em torno de 10V quando h pulsos, e a tenso negativa na ausncia dos


pulsos, garantindo o corte das chaves.

Figura 48 Forma de onda nos gates dos MOSFETs.

Finalmente, tem-se a onda PWM antes do filtro de sada, depois das chaves, e,
na Figura 49, o sinal na sada, depois do filtro, que o principal resultado do circuito
geral do no-break. A tenso na sada medida atravs do multmetro foi de 129VRMS,
as figuras a seguir so para uma carga de 1k, tendo sido verificada a eficincia do
circuito com sucesso.

88

Figura 49 Onda PWM antes do filtro de sada I.

Figura 50 Onda PWM antes do filtro de sada II.

Na Figura 49, pode-se ver a onda PWM formando uma senide a cada 3,33
divises, porm, a largura de pulsos apresentada no real, ocorrendo devido
89

baixa taxa de amostragem em relao alta freqncia dos pulsos, devido ao


osciloscpio utilizado ser digital, sendo a Figura 49, no entanto, apropriada para a
visualizao da formao da onda senoidal atravs dos pulsos PWM.
Na Figura 50, no entanto, pode-se observar a onda PWM numa freqncia de
amostragem apropriada, assim, pode-se notar que ora h uma tenso positiva, ora
negativa, como j explicado.
Na Figura 51, tem-se, finalmente a forma de onda na sada do filtro, ou seja, a
forma de onda na carga.

Figura 51 Sinal de 60Hz na sada do circuito.

90

6 - Consideraes Finais (Etapa de Potncia)

Esse projeto de grande importncia tanto na rea de eletrnica de potncia


quanto na rea de qualidade de energia, pois pode-se implementar um no-break online, o que significa que este circuito nunca deixar que falte energia em sua sada
fornecendo a energia da bateria quando no houver tenso na rede. Alm de
fornecer uma sada estabilizada, sem os picos de tenso, subtenses e
sobretenses a que equipamentos ligados diretamente rede so submetidos.
Alm disso, contribuindo para a qualidade da energia na rede, este circuito
possui filtro de linha, amenizando os rudos que este circuito ir inserir na rede. H
tambm uma sincronizao entre o conversor DC/DC e o inversor, diminuindo,
assim, os rudos RFI, tambm contribuindo para a qualidade da energia na rede.
Em eletrnica de potncia, este circuito possui vrios conversores, um conversor
flyback que carregar as baterias, dois conversores forward ligados em seu
secundrio como um push-pull bem como um retificador e um inversor, todos
interligados para formar o no-break, utilizando-se assim de converses AC/DC, DC/
DC e DC/AC.
Assim, apesar das alteraes no projeto que tiveram de ser feitas, como o uso
dos capacitores de 470F, em vez de 1200F, por indisponibilidade dos mesmos em
laboratrio, o que acarreta em um aumento do ripple na sada do retificador, os
resultados foram satisfatrios.
E o uso de um indutor que melhora o filtro de sada com relao freqncia de
corte mas que permite uma corrente mxima de 13A, permitindo ainda cargas
resistivas, mas constituindo em um problema para cargas no lineares, como seria o
caso de um retificador. Talvez, porm isso no seja um problema srio, pois ao
saturar o ncleo, os indutores diminuiro sua indutncia, porm ainda sero capazes
91

de filtrar a onda PWM, j que o filtro foi projetado para uma freqncia de corte
muito abaixo da freqncia dos pulsos.
O circuito, porm, funcionou corretamente para uma carga resistiva como foi
medido e mostrado no captulo 5. Sendo assim, pode-se concluir que o trabalho
realizado para a implementao do no-break aqui descrito foi realizado com
sucesso. Tendo, cada um dos blocos aqui descritos interagido corretamente,
juntamente com o circuito de controle.
Finalmente, tem-se ainda que regular a tenso na sada, para que esta fique em
115V, pois no momento a sada est com uma tenso em torno de 127V. No anexo
1, tem-se o circuito geral dos estgios de potncia do no-break.

92

7 - Circuito de Controle Analgico

7.1 - Introduo
Basicamente uma fonte ininterrupta de energia composta por um retificador,
um banco de baterias, um inversor de tenso, e circuitos eletrnicos responsveis
pelo controle do sistema. A tenso alternada gerada pelo no-break deve ter
freqncia, forma e amplitude invariantes, independentemente das eventuais
alteraes na alimentao provenientes da bateria ou na carga.
Por se tratar de uma fonte alternativa de tenso, a amplitude e a freqncia so
os mesmos encontrados na rede eltrica, ou seja, uma tenso de 127V alternada
com uma freqncia de 60Hz. J quanto a sua forma, a onda de sada do inversor
pode ser quadrada, pseudo-senoidal ou senoidal.
Aqui ser descrito o sistema de controle analgico deste trabalho. Apresenta-se
a seguir o diagrama de blocos do no-break e seu controle.

93

Figura 52 Diagrama de blocos do No-break e seu controle analgico.

O texto do controle analgico dividido em quatro captulos. No captulo 8 ser


descrito o controle do conversor DC/DC, a topologia utilizada para a converso e
como ela est configurada no circuito de potncia, o mtodo de controle com seu
circuito implementado atravs do circuito integrado 3525, descrevendo suas
caractersticas e funes. E tambm os ajustes realizados no controle para que a
converso DC/DC esteja acoplada ao restante do circuito do no-break de forma
correta.
No captulo 9, ser detalhado o controle do inversor de tenso. Parte de
fundamental importncia dentro do circuito do no-break, a qualidade de seu
funcionamento determina a qualidade do equipamento em questo. Ser mostrado o
mtodo de controle escolhido, o tipo de modulao das chaves deste conversor e
como se deu o desenvolvimento das partes que integram este controle e suas
interligaes. Depois de montado o circuito foram realizados os ajustes para se ter a
onda de sada senoidal desejada.
As sinalizaes comandadas pelo circuito de controle, so assuntos para o
captulo 10. Estas visam uma interface que demonstre qual a fonte de energia
atual do sistema, a carga das baterias e se alguma proteo est atuando no
momento. Protees estas que tambm so assunto deste captulo. As protees

94

buscam garantir que no se danifique o sistema em si e a carga que est ligada a


ele.
Ao captulo 11 est reservado todos os resultados experimentais obtidos nesta
etapa do trabalho.
Por fim, no captulo 12 tem-se as consideraes finais da etapa de controle
analgico traando um apanhado geral de tudo o que abordado nesta etapa do
trabalho.

95

8 - Controle do Conversor DC/DC

8.1 - Introduo
A converso DC/DC empregada no processo afim de que a partir da tenso
das baterias possa se obter uma tenso de barramento prxima tenso retificada
da rede eltrica, quando esta se encontra presente. esta tenso que alimenta o
inversor para gerar na sada a tenso senoidal desejada. Desta forma quando a rede
eltrica est presente, a tenso de barramento se origina da sua retificao, e na
ausncia de rede eltrica, esta tenso originada das baterias sendo elevada
atravs de dois conversores forward defasados de 180.
A Figura 53 mostra o diagrama de blocos da converso DC/DC. Nele observa-se
que a tenso de sada atenuada pelo bloco e comparada com uma tenso de
referncia (Vref) que por sua vez, gera uma tenso de erro (Verro) que vai para o
circuito de controle onde se obtm os pulsos de razo cclica D, para atuar no bloco
de converso de potncia.
Nota-se ento, que uma fonte chaveada formada por um lao com
realimentao negativa que visa manter constante tenso de sada Vs.
COMPARADOR
DE ERRO
Vref

Verro

+
-

Ve
CIRCUITO
DE CONTROLE

CONVERSOR
DC/DC

FILTROS

Vs

Figura 53 Diagrama de blocos do controle de um conversor DC/DC.

96

Para controlar os conversores DC/DC foi utilizado o mtodo de controle por


largura de pulso. Para este nvel de controle se tem no mercado circuitos integrados
responsveis por esta modulao. Neste trabalho foi utilizado o circuito integrado
3525 para fazer o controle da largura dos pulsos que sero entregues as chaves dos
conversores, e assim controlada a tenso de sada da converso DC/DC. Atravs
deste CI tambm pode-se fazer a realimentao de tenso, que possibilita fazer um
controle fino do resultado da converso, o incio dos pulsos de forma suave e o
sincronismo dos pulsos que rege esta converso com a converso DC/AC.

8.2 - Conversor Forward


O conversor forward mostrado na Figura 54 muito utilizado nos projetos de
fontes chaveadas, devido a caracterstica de transferncia direta de energia, ou seja,
ocorre transferncia quando os transistores esto conduzindo, contribuindo para
uma boa resposta dinmica, alm de ser bastante robusto e com um nmero
reduzido de componentes se comparado com outras estruturas. O conversor forward
trabalha com isolao entre a tenso de entrada e sada, atravs de um
transformador isolador. Quando as chaves se abrem a tenso de entrada colocada
no primrio do transformador, e assim aparece no secundrio uma tenso definida
pela relao de espiras entre o primrio e o secundrio.
O conversor forward possui um ganho esttico da tenso de sada em relao a
tenso de entrada, este ganho dependente da relao de espiras do transformador
e da largura do pulso na chave. Sendo assim, com a relao de espiras fixas, podese controlar o conversor pela largura dos pulsos que so transmitidos as chaves.
Foram utilizados dois conversores forward acoplados e defasados de 180,
assim controla-se para que quando tenha pulso em um conversor no se tenha no
outro, e os dois trabalhem de forma alternada.

97

Figura 54 Conversor DC/DC (Topologia Forward).

8.3 - CI 3525
Um dos mais populares circuitos no mercado que operam com modulao por
largura de pulsos o UC3525, cuja estrutura bsica apresentada na Figura 55.
Vref
16

+VIN 15

OSC OUT
4

PROTEO DE
SUBTENSO

REGULADOR

13

VC

11

SADA A

14

SADA B

GND 12
SINC 3

RT

CT

FLIP
FLOP

OSCILADOR

DISCH 7
COMPARADOR
COMP 9
Vref

AMPLIFICADOR DE ERRO

PWM
LATCH

INV 1
NI 2
SOFT-START 8

SHUTDOWN10

5K

5K

Figura 55 Diagrama de blocos do circuito integrado 3525.

98

Este circuito integrado deve ser alimentado atravs do pino 15 com uma tenso
continua entre 8 e 35V. Um circuito de proteo denominado undervoltage lockout
responsvel por inibir os pulsos de comando at que a tenso de entrada (Vin, pino
15) seja superior a 8V. Internamente existe um regulador de tenso responsvel por
estabelecer uma tenso da ordem de 5,1V (pino 16) usada como tenso de
referncia e para alimentar os circuitos internos.
Um gerador de onda dente de serra tem sua freqncia determinada por um par
RC conectado aos pinos 5 e 6. Para o 3525, o fabricante recomenda a operao em
freqncias entre 100Hz e 500kHz. A rampa gerada tem uma excurso de
aproximadamente 2,5V e pode ser observada no pino 4 do integrado. O pino 3
encontra-se ligado ao oscilador, o pino de sincronismo, que responsvel por
sincronizar em freqncia esta onda com algum sinal externo.
Por meio do pino 7 pode-se ajustar o tempo de descarga do capacitor,
ajustando-se assim, o tempo morto entre os pulsos na sada.
O sinal do oscilador aciona um flip-flop, selecionando para qual das duas sadas
ser ligada. Este sinal passa por um latch, de modo a garantir um nico pulso por
ciclo, podendo ainda ser inibido pela entrada de shutdown (pino 10), o qual atua em
um tempo da ordem de 200ns. A sada dupla permite o comando de uma topologia
semelhante push-pull. Os transistores podem fornecer no mximo 200mA,
suportando 60V. Caso haja a necessidade de maiores nveis de corrente nas sadas
deve ser providenciado um driver externo.
O comparador possui 3 entradas. Uma delas proveniente do gerador de rampa,
outra fornecida pela sada do amplificador de erro e a ltima pelo pino 8,
responsvel pela partida progressiva (soft-start).
O amplificador de erro do tipo transcondutncia, ou seja, apresenta uma
elevada impedncia de sada, comportando-se como uma fonte de corrente. O
compensador pode ser construdo a partir do prprio amplificador operacional
interno ao CI. Caso no haja a necessidade de malha fechada, curto-circuita-se os
pinos 1 e 9 para obter a configurao de seguidor de sinal. O amplificador limitador
de corrente pode ser usado no modo linear ou com limitao pulso a pulso. Sua
tenso de limiar de 200mV.

99

8.4 - Sincronismo
O sincronismo realizado entre os pulsos de controle dos conversores DC/DC e
DC/AC, para que com suas freqncias sincronizadas se evite problemas como
interferncia e o aparecimento de harmnicas indesejadas.
Visto que o CI 3525 disponibiliza uma entrada (pino 3) para o sincronismo de
seu sinal de sada, foi implementado um circuito que, a partir da onda triangular que
ser usada na gerao dos pulsos para o inversor, gere pequenos pulsos na mesma
freqncia desta onda triangular. Pulsos estes que so ligados ao pino de
sincronismo do CI 3525, sincronizando os controles dos conversores.
A Figura 56 mostra o circuito que gera os pulsos de sincronismo:

Figura 56 Circuito de sincronismo.

8.5 - Malha Fechada


O conversor DC/DC trabalha em malha fechada fazendo uma regulagem de
tenso de forma dinmica a partir da realimentao obtida da tenso do barramento.
Atravs de um divisor resistivo feita a amostragem da tenso do barramento,
denominada FB. No CI 3525 este sinal passa por um amplificador de erro, onde
comparado com uma tenso de referncia gerada no prprio CI, este sinal de erro
vai para um comparador de acordo com a arquitetura interna do CI. O sinal de
amostragem de tenso tambm passa por uma ao proporcional integral e enviado
ao pino de compensao do CI 3525 para desta forma tornar o circuito malha
100

fechada, e obter uma boa regulagem de tenso no conversor. Na Figura 57 est


representado o circuito de controle do conversor DC/DC, onde est demonstrado a
questo da malha fechado deste circuito como descrito acima.

8.6 - Ajuste da Tenso em 260V


Com a malha fechada implementada, pode-se fazer um ajuste da tenso do
barramento atravs de um potencimetro associado ao divisor resistivo que amostra
o sinal deste barramento. Assim variando este potencimetro tem-se uma variao
do sinal de realimentao e por conseqncia a variao da tenso na sada no
conversor DC/DC.
Quando se tem a rede eltrica, a tenso de barramento originada da
retificao desta tenso, e tem o valor em torno de 280V. Quando a rede no se
encontra presente, o conversor DC/DC fornece tenso ao barramento. O conversor
acoplado ao barramento via diodo, desta forma a tenso de barramento, quando
originada da rede, no tem um caminho disponvel para o conversor por causa do
sentido de conduo do diodo.
Devido a esta forma de acoplamento via diodo, deve-se garantir que a tenso
gerada do conversor s seja transmitida ao barramento quando no tiver rede
eltrica presente. Visto isso, foi ajustada a tenso na sada do conversor em 260V.
Assim, quando se tem rede presente o diodo fica polarizado reversamente. Desta
forma, o diodo no conduz a tenso do conversor para o barramento.
O acoplamento do conversor ao barramento via diodo pode ser visto na Figura
do circuito de potncia no anexo 1.

8.7 - Circuito em Malha Fechada


A Figura 57 mostra o circuito de controle do conversor DC/DC, onde a partir do
circuito integrado 3525 com sua arquitetura de construo, foi montada toda a lgica
de controle. Na Figura 57 pode-se ver quais componentes foram utilizados para a
ligao nos determinados pinos do CI. Como descrito anteriormente, tem-se no
circuito a realimentao de tenso, a malha de filtragem da freqncia de operao,
o sincronismo oferecido pelo CI e utilizado neste trabalho, o incio dos pulsos feito
101

de maneira suave pela implementao do soft-start. No circuito tem-se no pino 10 do


CI o sinal de comando para desabilitar os pulsos de sada.

Figura 57 Circuito de controle do conversor DC/DC.

8.8 - Concluso (Circuito de Controle Analgico do DC/DC)


Neste captulo foi apresentado toda a metodologia e o circuito de controle do
conversor DC/DC. A utilizao dos dois conversores forward defasados de 180
atendeu todas as necessidades do sistema quanto ao fornecimento de energia e
estabilidade na converso.
A escolha do circuito integrado 3525 para fazer a modulao por largura de
pulso, foi adequada para este controle. Pois, pode ser realizada uma converso em
malha fechada de acordo com que se esperava pela teoria de converso DC/DC. E
assim o ajuste da tenso de sada deste conversor foi alcanado de forma precisa,
fazendo com que esta tenso pudesse ser usada no contexto do sistema. Foi obtido
tambm o sincronismo desejado com as demais converses moduladas do nobreak.
O circuito implementado funcionou dentro das expectativas e seu uso foi
possvel dentro do sistema de controle do no-break.

102

9 - Inversor

9.1 - Introduo
Os inversores, ou conversores DC/AC, so responsveis pela converso
de nveis contnuos de tenso ou corrente em nveis alternados em sua sada,
apresentando simetria em amplitude. A freqncia de operao pode ser fixa
ou varivel. Dentre as aplicaes onde a freqncia de operao varivel
destaca-se o controle de velocidade de motores. Fontes de alimentao para
sistemas embarcados e fontes ininterruptas de energia figuram entre as
principais aplicaes quando a freqncia de operao fixa.
Os inversores podem ser classificados em dois grupos: inversores de
tenso e inversores de corrente. Os conversores DC/AC de tenso tm como
entrada uma fonte de tenso ou um capacitor de valor elevado para substitula. De maneira anloga, os conversores DC/AC de corrente apresentam na sua
entrada uma fonte de corrente ou um indutor de valor elevado que caracterize
este efeito. Entre as topologias monofsicas destacam-se os conversores
DC/AC em ponte completa, em meia ponte e do tipo push-pull.
A estrutura em ponte completa a mais utilizada e adequada para
potncias elevadas, por possuir caractersticas interessantes em relao a
esforos de corrente e tenso, dentre outros fatores. Na estrutura no isolada,
o valor de pico da senide de sada inferior ao valor da tenso contnua de
entrada. Para elevar a tenso de sada deve-se introduzir um transformador no
circuito. Neste trabalho a estrutura utilizada para o inversor foi a ponte
completa no isolada.
Inversores de tenso senoidais so responsveis por fornecer uma tenso
senoidal em sua sada. Entretanto, a operao dos interruptores em alta

103

freqncia

produz

na

sada

do

inversor

interferncias

(harmnicos)

indesejveis. Para tanto, usualmente empregado um filtro do tipo LC na sada


do estgio inversor para que o contedo harmnico seja filtrado e somente a
parcela referente freqncia fundamental esteja disponvel na sada.
Normalmente tambm se faz necessria a incluso de uma malha de controle
de tenso para que eventuais perturbaes no se reflitam na sada do
inversor, preservando sua forma de onda senoidal.
Especialmente em aplicaes de fontes ininterruptas de energia so
encontradas cargas no-lineares, presentes em quase todos os estgios de
entrada de equipamentos eletrnicos. As cargas no-lineares contribuem de
maneira significativa para a distoro da forma de onda da tenso de sada do
inversor. Para que a distoro harmnica se mantenha em um nvel tolervel,
deve ser utilizada uma malha de controle da tenso de sada com resposta
transitria rpida, bem como um projeto adequado do filtro de sada do
inversor.

9.2 - Inversor de Tenso Monofsico em Ponte Completa


O inversor de tenso monofsico em ponte completa, se comparado a
outras estruturas de inversores na mesma aplicao, apresenta grande
versatilidade e caractersticas nicas, como os baixos esforos de corrente e
de tenso nos interruptores, tornando esta topologia natural para aplicaes
em potncias normalmente acima de 1 kVA. A principal desvantagem dessa
estrutura se concentra na necessidade de se empregar quatro interruptores.
Alm disso, os sinais de comando devem ser isolados, pois no possuem a
mesma referncia no circuito.
A Figura 58 mostra o circuito de potncia deste inversor, onde os
interruptores S1, S2, S3 e S4 so acionados de acordo com uma estratgia de
modulao, de modo que a nica restrio concerne conduo simultnea
dos interruptores S1 e S3 ou S2 e S4. Na Figura 58, no esto representados os
indutores e capacitores do filtro de sada do inversor. Vin representa a tenso
do barramento de entrada, que pode ser uma bateria, por exemplo.

104

Figura 58 Inversor em ponte completa.

9.3 - Estratgias de Modulao


O princpio de funcionamento do inversor de tenso est intimamente
ligado a uma estratgia de modulao que o processo de mudana de estado
dos componentes eletrnicos em um conversor. Diversas so as estratgias de
modulao propostas, entre as quais se podem citar a modulao por pulso
nico, por largura de pulsos mltiplos e iguais entre si, por largura de pulsos
otimizada (PWM otimizada) e por largura de pulso senoidal (SPWM).
A modulao por largura de pulso varia a razo cclica aplicada aos
interruptores em uma alta freqncia de comutao com o intuito de suprir uma
determinada tenso ou corrente na sada em baixa freqncia, ou seja, tem-se
como objetivo criar uma seqncia de pulsos que devem ter o mesmo valor
fundamental de uma referncia desejada. Todavia, nesta seqncia de pulsos
existem componentes harmnicos indesejados que devem ser minimizados.
Entre os pontos positivos da vasta utilizao da SPWM na indstria
destacam-se a operao em freqncia fixa e o contedo harmnico deslocado
para altas freqncias utilizando-se uma portadora. O emprego de freqncia
fixa aperfeioa o projeto dos componentes magnticos, tendo em vista que em
aplicaes onde a freqncia varivel os componentes magnticos devem
ser projetados para toda a faixa de freqncia utilizada. Quando o contedo
harmnico se concentra nas altas freqncias tem-se uma diminuio de
dimenso, peso e custo dos componentes do filtro.

105

Na SPWM de dois nveis, tambm conhecida como SPWM bipolar, o sinal


de referncia Vref comparado com um sinal triangular Vtri na freqncia de
comutao de modo a se obter os pulsos de comando para os interruptores do
inversor. No caso do inversor em ponte completa, quando o valor de referncia
maior do que o valor da portadora, o respectivo brao comandado
comutado para o valor da tenso contnua do barramento de entrada. Quando
o valor de referncia menor que o valor da portadora tem-se o valor da
tenso de entrada invertido na sada do estgio inversor. A Figura 59 mostra a
comparao dos sinais Vtri e Vref e a respectiva tenso na sada do bloco
inversor (Vab).
A largura dos pulsos enviados para os interruptores depende da amplitude
da referncia senoidal de tenso, fazendo com que a tenso Vab tenha uma
componente fundamental na mesma freqncia da tenso de referncia e os
harmnicos deslocados em torno da freqncia da portadora Vtri. Esta
modulao apresenta a caracterstica de possuir um nico comando para cada
dois interruptores, como por exemplo, S1 e S4. Nos outros dois interruptores
pode-se usar, idealmente, um comando complementar.
De maneira similar, a modulao por largura de pulso senoidal de trs
nveis, ou SPWM unipolar, tambm visa deslocar o contedo harmnico para
as altas freqncias. A diferena que os interruptores S1 e S2 ou S3 e S4
tambm podem conduzir simultaneamente.
O sinal de referncia Vref comparado com um sinal triangular Vtri na
freqncia de comutao de modo a se obter os pulsos de comando para os
interruptores de um brao do inversor, S1 e S3, por exemplo. J os pulsos de
comando para o outro brao so obtidos atravs da comparao do sinal de
referncia com uma outra portadora triangular Vtri2, complementar a Vtri. A
modulao por largura de pulso senoidal unipolar pode ser visualizada na
Figura 60.
Embora se utilize a portadora triangular, pode-se aplicar uma portadora do
tipo dente-de-serra. A diferena entre esses tipos de portadoras mostra que a
portadora do tipo dente-de-serra apresenta resultados inferiores com relao
ao espectro harmnico da tenso Vab, tanto para a modulao unipolar quanto
para a bipolar.

106

Observando-se as Figuras 59 e 60 nota-se que o nmero de pulsos na


tenso Vab duas vezes maior na modulao trs nveis do que o encontrado
na dois nveis, para a mesma freqncia de comutao. Este nmero est
diretamente relacionado com a freqncia das harmnicas de Vab.

Vtri
Vref

Vab

Tempo (sem escala)

Figura 59 PWM senoidal bipolar.

Vtri
Vtri2
Vref

Vab
Tempo (sem escala)

Figura 60 PWM senoidal unipolar.

107

9.4 - Tcnica Utilizada para o Controle do Inversor


A tcnica utilizada para o controle do inversor (SPWM bipolar ou PWM
senoidal bipolar) mostrada no diagrama de blocos da Figura 61.
Nesta etapa do trabalho utiliza-se a tcnica de modulao por largura de
pulso senoidal bipolar, que ter cada bloco explicado nos tpicos a seguir.

Figura 61 Diagrama de blocos da tcnica utilizada para o controle do


inversor.

9.4.1 - Gerador Senoidal


A gerao de uma onda senoidal com a melhor qualidade possvel, desde
a forma da onda at a preciso na freqncia, parte fundamental para o
controle do inversor na tcnica PWM senoidal.
A estrutura bsica do oscilador senoidal consiste em um amplificador e
uma malha seletiva de freqncia conectada a um elo de realimentao
positiva. O sistema realimentado em condies em que a realimentao
positiva seja garantida. Assim a oscilao uma forma de instabilidade que
regenera um sinal a cada ciclo de realimentao.
Para que as condies desejadas sejam obtidas na construo do
oscilador, utiliza-se uma estrutura baseada na ponte de Wien.

108

9.4.1.1 - Oscilador com Ponte de Wien


A Figura 62 mostra o circuito de um oscilador com ponte de Wien. O
circuito consiste em um amplificador operacional conectado configurao
no-inversora, com um ganho de malha fechada de 1 RF / R1 . No caminho da
realimentao desse amplificador com ganho positivo conectada uma malha
RC.

CS

RS
VO

Va = BVO

CP

RP

Figura 62 Oscilador com Ponte de Wien.

O ganho de malha pode ser obtido facilmente pela multiplicao da funo


de transferncia Va (s ) / Vo( s ) da malha de realimentao pelo ganho do
amplificador,

R Zp
L(s ) 1 F
R1 Zp Zs

Portanto,
L( s )

1 RF R1
3 sCR 1 sCR

Substituindo s=j resulta em

109

1 RF R1
3 j (CR 1 CR)

L( j )

O ganho de malha ser um nmero real, isto , a fase ser zero, em uma
freqncia dada por:

0 CR

1
0 CR

Isto ,

1
CR

Para obter e manter as oscilaes nessa freqncia, deve-se fazer a


amplitude do ganho da malha unitrio, obedecendo assim o critrio de
Barkhausen, L(s)=1. Isso pode ser obtido escolhendo

RF R1 2

9.4.1.2 - Circuito do Gerador Senoidal


Como descrito o gerador senoidal foi construdo utilizando a estrutura da
ponte de Wien. O amplificador utilizado foi o LM324. Para se obter um ajuste
mais preciso dos ganhos foram utilizados potencimetros em alguns pontos. A
Figura 63 mostra o circuito com os valores de componentes utilizados. Nela
tambm est representado o capacitor para o desacoplamento DC, e o ajuste
DC para o valor de 6V, que a referncia para o circuito de controle. Em
seguida o sinal passa por um buffer para garantir a qualidade do sinal e a no
influncia de outro componentes no circuito.

110

Figura 63 Circuito gerador Senoidal.

9.4.2 - Controle de Corrente


A importncia de se controlar a corrente de sada est em estabelecer um
limite para que as estruturas do equipamento e seus componentes, no sejam
danificadas ou queimados por uma corrente excessivamente elevada.
Para se ter uma amostra da corrente foi usado um sensor de corrente em
srie com o barramento de sada, conforme pode ser visto na Figura 58. O
sensor usado foi uma liga de constantan que gera uma diferena de potencial
proporcional a corrente que passa por essa liga. A liga de constantan tambm
foi escolhida por no ter uma variao com a mudana da temperatura. O sinal
do sensor de corrente, que est na placa de potncia, enviado ao controle
atravs de um cabo blindado para minimizar alguns possveis efeitos de rudos
que entrariam no sistema. Este sinal conectado no controle nas entradas RS1
e RS2.
Tambm tem-se neste circuito um led que ir sinalizar quando o controle
de corrente est atuando no sistema.
A Figura 64 mostra o circuito de controle de corrente que ser explicado
por partes a seguir.

111

Figura 64 Circuito de controle de Corrente.

9.4.2.1 - Amplificador de Corrente


Chegando no controle, o sinal que vem do sensor de corrente sofre uma
amplificao em um circuito com amplificador operacional na configurao de
subtrator. Assim uma diferena de potencial pode ser convertida em um sinal
nico.
Experimentalmente observou-se a necessidade de inserir antes deste
estgio de amplificao do sinal, um filtro passa baixa para filtrar rudos que
vinham juntos do sinal de corrente e ao serem amplificados juntos, interferiam
na qualidade do sistema.

9.4.2.2 - Filtro Passa Baixa


Na seqncia do estgio de amplificao do sinal tem-se um filtro passa
baixa deixando um sinal contnuo proporcional corrente. Este filtro
proporciona um nvel DC, podendo trabalhar no controle de forma mais
simples. Aps este filtro tem-se um buffer para isolar os estgios no tendo
influncia um sobre o outro.

9.4.2.3 - Comparador com a Referncia


Para encerrar o circuito de controle de corrente tem-se no ltimo estgio a
comparao do nvel do sinal de corrente com uma tenso de referncia. Essa
tenso de referncia permite ajustar qual a corrente mxima em que atuar o

112

controle de corrente. Tem-se nesta etapa uma ao proporcional integral que


ir impor a ao de controle desejada.

9.4.3 - Gerador de Onda Triangular


Para a construo do circuito para gerao da onda triangular, foi utilizado
um circuito proposto pelo fabricante Harris Semiconductor [16].
A Figura 65 mostra o circuito de gerao da onda triangular, seguida de um
capacitor para o desacoplamento DC, o ajuste DC em 6V como convm para o
controle e um buffer para o isolamento.

Figura 65 Circuito gerador de onda triangular.

113

9.4.4 - Soft-start e Bloco para Alterar o Nvel da Onda Senoidal


Na Figura 66 est apresentado o circuito responsvel por estas funes
descritas abaixo.
Como j foi visto a senide de fundamental importncia na gerao dos
pulsos para o inversor. Sua partida suave reflete esta caracterstica sada,
evitando assim picos transitrios de tenso que podem danificar o aparelho ou
a carga que est ligada a ele. Da a importncia de se ter um bloco responsvel
pelo soft-start da senide.
Para se obter o soft-start foi usado um dispositivo FET que atua no circuito
como uma resistncia varivel de acordo com a tenso aplicada em sua base.
No incio colocado um nvel alto de tenso em sua base e de acordo com a
carga de um capacitor, que junto com um resistor ir determinar o tempo do
soft-start, faz-se conduzir um transistor que vai derrubando a tenso do gate do
FET gradativamente, tendo assim a partida suave desejada na onda senoidal.
Outro detalhe agregado que o capacitor mencionado s inicia sua carga aps
a comutao do rel que protege o circuito de potncia de picos de corrente
(corrente inrush).
Alm disso tem-se no bloco soft-start dois outros sinais gerados em outros
blocos funcionais que podem influir no comportamento da senide. O primeiro
o sinal vindo do controle de corrente, que quando este controle atua,
significando uma elevada corrente, a tenso de sada deve ser diminuda, isto
feito atravs da onda senoidal de referncia. O outro se refere a um sinal
gerado por um bloco de proteo que monitora a temperatura do sistema e a
carga da bateria, desligando sistema se estas condies estiverem fora do
limite aceitvel. Este sinal realiza a descarga do capacitor para que quando o
sistema voltar a funcionar, ele volte com as mesmas caractersticas de softstart previstas para sua partida.
Agregado ao bloco de soft-start tem-se um sistema que funciona atravs de
potencimetro para alterar a amplitude da senide. Com este ajuste pode-se
controlar a amplitude da tenso de sada do inversor.

114

Figura 66 Circuito do soft-start e alterador do nvel da senide.

9.4.5 - Realimentao de Tenso


A realimentao de tenso faz com que o nvel da sada seja conhecido e
tambm usado como ferramenta para controlar a sada. Com isso o ajuste da
sada pode ser feito de forma mais precisa, e maior estabilidade nas
caractersticas do no-break. Da a grande importncia de implementar no
sistema uma malha de realimentao de tenso.
Para esta realimentao amostrado um sinal da sada do no-break, que
filtrado e tem seu nvel DC ajustado de acordo com os padres do controle.
nesta etapa que se controla e ajusta o nvel DC da sada, podendo ser alterado
pelo ajuste de um potencimetro. O sinal passa por um buffer e est
condicionado para ser usado no controle.
A prxima etapa a comparao com a onda senoidal gerada no controle.
neste ponto que a realimentao negativa concretizada, o sinal de tenso

115

entra no ramo negativo do comparador e a onda senoidal na entrada positiva.


Neste comparador tambm feita uma ao integral para melhorar o sinal
resultante da comparao. Este circuito est representado na Figura 67. O
sinal resultante da comparao passa por um filtro passa-baixa e fica sujeito a
sofrer alteraes dos circuitos limitadores de nvel superior e inferior, quando
necessrio. Da ento tem-se um sinal de erro adequado para realizar a lgica
de gerao de pulsos, pela modulao PWM senoidal.

Figura 67 Circuito de realimentao de tenso.

9.4.6 - Circuito Limitador de Nveis Superior e Inferior


O circuito limitador de nveis foi implementado para atuar sobre o sinal
senoidal que vai interagir com a onda triangular para gerar os pulsos PWM, de
forma a estipular valores limites, tanto superior quanto inferior para aquela
onda. Com este controle pode-se atuar no sinal de sada, regulando os picos
da senide de sada para adequ-la na forma desejada.
O circuito limitador mostrado na Figura 68.

116

Figura 68 Circuito limitador de nvel.

9.4.6.1 - TL431 [6]


O TL431 um componente que funciona como um zener controlado por
tenso, onde a tenso do zener pode variar de acordo com uma tenso de
referncia. Ele possui uma garantia de sensibilidade trmica podendo ser
aplicado em locais com variao de temperatura. Sua tenso de sada pode
assumir valores entre a tenso de referncia, aproximadamente 2,5V e 36V.
Devido as suas caractersticas, este um componente muito usado em
circuitos eletrnicos.

117

Figura 69 Diagrama interno do TL431.

A construo e regulagem do circuito limitador est ligado a caracterstica


do TL431[6]. Com um potencimetro ajusta-se a referncia do TL431[6] que
ligado base do transistor, e com isso define-se os limites inferiores e
superiores. As duas partes do circuito que determinam os limites so ligadas
onda senoidal do controle atravs de diodos. Os diodos esto dispostos de
forma que conduzam quando os valores dos limites no so obedecidos,
alterando assim o valor da onda.

9.4.7 - Circuito de Gerao dos Pulsos: Lgica e Proteo


Como discutido anteriormente a gerao de pulsos para o inversor atravs
da modulao por largura de pulso senoidal feita pela comparao entre uma
onda triangular de alta freqncia e uma onda senoidal com a freqncia
desejada na sada do inversor. Para este trabalho foi desenvolvido o circuito da
Figura 70, que alm de realizar esta comparao e gerar os pulsos, tambm
impe condies para o incio destes pulsos no inversor, protegendo contra
transitrios de tenso.

118

Figura 70 Circuito de gerao de pulsos para o inversor.

Aps as ondas senoidal e triangular serem condicionadas de acordo com a


necessidade de controle, elas passam por um buffer e so comparadas entre
si. Foram implementados dois comparadores para gerarem dois sinais
diferentes para o inversor em ponte completa, como j foi descrito. Como os
pares de chaves do inversor devem receber pulsos complementares, foi
invertida a entrada de cada onda no comparador em relao ao outro, ou seja,
no primeiro comparador a onda senoidal est na entrada positiva e no segundo
na entrada negativa, e a onda triangular da mesma forma distribuda na outra
entrada de cada comparador. Assim na sada dos comparadores tem-se os
sinais complementares dos pulsos modulados para o inversor.
Antes de serem enviados para o inversor, os pulsos passam por algumas
protees. Primeiro eles so filtrados e passam por uma porta lgica AND.
Neste estgio se encontra o dispositivo capaz de inibir os pulsos no momento
em que alguma adversidade for detectada no sistema. As portas lgicas AND
utilizadas foram as do circuito integrado 4081.
Em seguida est implementada outra proteo que no deixa os pulsos
irem para a sada imediatamente aps o sistema ser ligado. Esta proteo

119

recebe o sinal vindo do circuito de comutao do rel, explicado anteriormente,


e aps o rel ser disparado este circuito libera os pulsos depois de um tempo
de carga do capacitor de 47uF atravs do resistor de 10k. Este circuito
tambm analisa o sinal de tenso do controle, inibindo os pulsos
imediatamente aps o controle ser desligado.
Com este sistema implementado os pulsos passam por um drive e esto
prontos para serem utilizados no inversor de maneira correta e segura.

9.5 - Concluso (Circuito de Controle Analgico do Inversor)


Neste captulo foi apresentada a descrio do inversor de tenso e suas
estratgias de modulao. Tambm foi demonstrada a tcnica utilizada para o
controle do inversor e detalhada cada etapa desta tcnica.
Pode-se observar as vantagens da modulao utilizada, por apresentar
uma sada senoidal com uma forma de onda dentro de bons padres de
qualidade.
A devida operao desta tcnica de converso se deu pelo bom
funcionamento de suas partes. As geraes das ondas senoidal e triangular
ocorreram dentro das especificaes que eram exigidas. A boa regulagem da
onda senoidal de referncia refletiu em um sinal de sada que obedecia as
regulagens aplicadas. A onda triangular que era portadora de alta freqncia
fez com que as harmnicas do sinal de sada pudessem ser filtradas com maior
facilidade.
O controle de corrente agiu de forma eficaz atuando na tenso quando o
valor da corrente de sada atingia o valor especificado no controle. A
realimentao de tenso proporcionou ao no-break trabalhar em malha
fechada, que foi o propsito deste trabalho, e dando maior qualidade e
confiabilidade ao sistema. Proporcionando resposta dinmica s variaes do
sistema e estabilidade na sada.
Pode-se obter um sinal de sada com uma partida suave, tornando mais
rgido o sistema em relao s protees contra picos de tenso na hora do
incio do seu funcionamento.

120

Assim a gerao de pulsos para o inversor ocorreu de forma eficaz,


controlando o inversor e sua sada. Dando ao no-break uma forma de onda
senoidal na sada de boa qualidade.

121

10 - Proteo e Sinalizao

10.1 - Circuito Sinalizador e Alarme Sonoro


O sistema de sinalizao possui um circuito que faz a sinalizao por leds
do nvel da bateria, um circuito para a emisso de sinais sonoros, leds que
indicam a fonte de energia atual.
A Figura 71 mostra todo o circuito de sinalizao interligado entre si, e a
seguir ser explicado separadamente cada bloco de acordo com sua finalidade.

Figura 71 Circuito de sinalizao.

122

10.1.1 - Sinalizao da Rede Eltrica


No circuito de potncia tem-se um transformador que abaixa a tenso da
rede para que possa ser usada no controle. A primeira etapa deste circuito de
sinalizao a retificao deste sinal vindo da rede, obtendo assim um sinal
DC com o qual faz-se uso para a sinalizao da presena ou ausncia da rede,
alm de us-lo para impor algumas condies a circuitos de proteo.
A sinalizao da presena da rede eltrica feita de forma simples atravs
de um led bipolar que assume as cores verde e vermelho, ficando verde
quando tem-se a presena da rede eltrica e vermelho quando a rede eltrica
est ausente. O sinal DC ligado base de um transistor que funciona como
chave para o led verde, assim quando se tem rede, o transistor polarizado de
forma a conduzir e sinalizar o led verde. Este mesmo sinal DC ligado a um
inversor e na sada deste inversor est o led vermelho para sinalizar a ausncia
da rede.

10.1.2 - Sinalizao da Carga da Bateria por Leds


A sinalizao da carga da bateria por leds feita para se ter um
conhecimento visual de qual o estado atual das baterias, onde atravs de
quatro leds, trs verdes e um amarelo, pode-se visualizar o nvel de carga da
bateria e dar ao usurio a informao de quando deve tomar alguma atitude em
relao ao aparelho que est ligado a este no-break.
O nvel da bateria medido atravs de quatro comparadores, onde cada
comparador possui uma tenso de referncia obtida por divisores resistivos a
partir do Vcc e ligadas a entrada positiva dos comparadores. A entrada negativa
recebe uma amostra da tenso da bateria regulada atravs de um
potencimetro. Assim quando a tenso da bateria maior que a referncia de
cada comparador, este satura em nvel baixo levando sua sada a zero, nesta
condio o led referente a este nvel mantido aceso. Com a mesma anlise, a
partir do momento em que a tenso da bateria cai abaixo da referncia o led se

123

apaga. Como os comparadores possuem nveis diferentes na porta positiva,


forma-se assim um sinalizador do nvel de tenso da bateria.

10.1.3 - Sinalizao Sonora


Sinaliza-se sonoramente a entrada da bateria como fonte de alimentao,
ou seja, ausncia de rede. Tambm os sinais sonoros variam de acordo com a
carga da bateria, sendo que a freqncia dos bips emitidos pelo alarme
aumentada quanto menor for a carga da bateria. O integrado responsvel por
gerar os pulsos enviados para o alarme s alimentado quando a ausncia da
rede verificada. Usa-se o temporizador 555 para emitir os pulsos para o
alarme.
O 555 foi montado na configurao astvel para gerar os pulsos.
Configurao esta que emite pulsos constantemente e a freqncia destes
pulsos determinada por uma constante RC que ligada ao circuito, assim
quando varia-se o valor do capacitor desta constante atravs de associaes
de capacitores, muda-se a constante RC e por conseqncia muda-se a
freqncia dos bips emitidos pelo alarme, sinalizando sonoramente a
diminuio da carga da bateria. O acrscimo de um capacitor para se associar
ao circuito e mudar a constante como descrito, feito por um transistor que
quando polarizado passa a conduzir liberando o capacitor para a associao. A
determinao da polarizao dos transistores tambm feita de acordo com os
comparadores de nvel da bateria.

10.2 - Proteo Contra Aquecimento


A proteo contra um sobre aquecimento se faz muito importante, visto que
os componentes eletrnicos possuem limites de temperatura para que seu
funcionamento seja confivel, ou at mesmo possam vir a queimar.
O circuito de proteo deste controle atua em dois nveis. Primeiramente
quando a temperatura atinge um determinado nvel acionado um comando
para ligar o ventilador que far a ventilao forada do sistema. Caso a
temperatura no seja controlada por essa ventilao e continue a subir

124

atingindo um nvel mais alto, enviado um comando para parar o


funcionamento total do sistema, evitando assim possveis perdas maiores.
Um sensor de temperatura foi fixado ao dissipador da placa de potncia.
Este sensor do tipo resistivo NTC, onde sua resistncia decai com o aumento
da temperatura. Com este sensor pode-se ento fazer um divisor resistivo com
outro resistor ligado ao 12V e desta forma ter um nvel de tenso varivel de
acordo com a temperatura. Gerada esta tenso utiliza-se dois comparadores, o
primeiro comparando uma tenso de referncia maior, e sua sada utilizada
para acionar o ventilador. E o segundo com a referncia menor, utilizado para a
interrupo do sistema. A tenso gerada pelo termistor colocada na entrada
negativa do comparador, visto que esta tenso diminui com o aumento da
temperatura e desta forma os sinais de comando gerados sejam nveis altos. O
circuito dos comparadores est representado na Figura 72.

Figura 72 Circuito de proteo contra sobre aquecimento.

125

10.3 - Comutao do Rel


Quando liga-se o no-break tem-se, de acordo com o diagrama de blocos da
Figura 52, que a rede eltrica retificada gerando uma tenso contnua que
alimenta o barramento DC. Neste barramento tem-se capacitores que filtram e
mantm esta tenso estvel. Tendo em vista que ao ligar o sistema estes
capacitores esto descarregados e tambm que eles possuem uma resistncia
muito baixa, a corrente necessria para se fazer a carga destes capacitores no
primeiro instante muito elevada, tendo um pico de corrente que pode danificar
componentes do sistema envolvido. Sendo assim necessrio colocar uma
resistncia no caminho desta corrente para evitar aquele pico no momento que
o sistema for ligado.
Esta resistncia s necessria at o momento em que os capacitores j
estejam carregados. Depois disto o resistor no tem mais funo no caminho
da corrente, e sua presena s trar consumo de potncia que ser
transformada em calor e se perdendo. Portanto o resistor se torna prejudicial
ao circuito depois que exerceu sua funo, sendo assim necessria sua
retirada do sistema. Esta retirada foi feita atravs de um rel que em sua sada
normalmente fechada se encontra o resistor e ao passar o tempo necessrio
acionado o rel que comuta a sada para a outra que passa a corrente de
forma direta, sem a resistncia.
O processo de carga do capacitor em que a corrente se torna crtica sem a
presena da resistncia dura menos de um segundo, assim foi criado um
circuito que esperasse o tempo necessrio e comutasse o rel. A Figura 73
apresenta o circuito responsvel pelo disparo do rel no tempo adequado.

126

Figura 73 Circuito controlador do disparo do rel.

O circuito possui uma constante de tempo RC utilizada para gerar um


atraso de 1,2 segundos. Visto que o rel comutado pela tenso zero devido
as ligaes feitas na placa de potncia, tem-se que o circuito ao ser ligado tem
em sua sada o nvel alto de tenso e ao passar o tempo previamente regulado
o circuito satura em zero comutando o rel. Tem-se tambm neste circuito um
sinal que quando o sistema for desligado por alguma proteo, faa tambm a
descarga do capacitor que faz a contagem do tempo, o capacitor da constante
RC. Desta forma sempre que o sistema for desligado ser respeitada a
corrente de carga dos capacitores do barramento DC atravs do sistema
explicado acima.

10.4 - Circuito Bloqueador dos Pulsos


O circuito bloqueador de pulsos analisa e atua no sistema toda vez que as
condies de funcionamento deste no estiverem nos padres normais. Este
circuito analisa os sinais da rede eltrica, dos comandos de anlise de
temperatura e de carga da bateria. E atua nos pulsos do inversor e nos pulsos

127

gerados pelo 3525. A Figura 74 mostra todo o circuito responsvel por enviar
sinais de bloqueio de pulsos.

Figura 74 Circuito bloqueador de pulsos.

Para bloquear os pulsos do conversor DC/DC basta se ter um nvel alto no


pino 10 do circuito integrado 3525, que este pino j tem a funo de inibir os
pulsos de sua sada. J os pulsos do inversor, a lgica feita para inibir os
pulsos baseada em portas lgicas AND, onde em uma entrada tem-se os
pulsos e em outra coloca-se nvel alto quando deseja-se a presena dos pulsos
no inversor ou zero quando se quer inibi-los. Para o inversor tambm tem-se
uma lgica complementar em que os pulsos s so habilitados aps o rel de
proteo ser comutado.
Para a anlise do sinal da bateria insuficiente, levado em conta tambm o
sinal da rede eltrica. Visto que quando se tem a presena da rede eltrica

128

mesmo a bateria estando no nvel no apropriado, o no-break deve funcionar


normalmente e conseqentemente ir carregando-a. Esta anlise de bateria
feita atravs de um comparador com realimentao positiva, que sua sada
segue o valor do comando de controle da bateria baixa. A realimentao
positiva essencial afim de que o sistema s volte a funcionar quando ele for
desligado e ligado novamente, para que no ocorra uma interpretao errada
da carga da bateria, visto que quando se desliga os pulsos e no mais
exigido corrente desta bateria ela tende a subir um pouco sua tenso, porm
no o suficiente para voltar a funcionar o sistema.

10.5 - Concluso (Circuito de Proteo e Sinalizao Analgico)


A grande importncia das protees fez com que fossem implementados
circuitos que deixassem o sistema mais seguro e confivel. Estes circuitos se
mostraram eficientes e obteve-se seu funcionamento adequado s condies
desejadas. O funcionamento do no-break interrompido quando necessrio.
Neste captulo tambm foram apresentadas as sinalizaes do sistema,
que proporcionam uma interface para ter conhecimento de como est o
funcionamento do no-break, em relao a quais blocos esto atuando, qual a
fonte de energia e como est o nvel desta fonte de energia no caso de ser a
bateria. As sinalizaes demonstraram a real situao do sistema, cumprindo
assim o seu propsito.

129

11 - Resultados Experimentais

11.1 - Introduo
Neste captulo so apresentadas as formas de onda dos principais
elementos que constituem o controle analgico do no-break apresentado neste
trabalho, e tambm a sada do sistema.

11.2 - Controle do Conversor DC/DC


Na converso DC/DC a tenso de 24V elevada para 260V, ajustada no
controle por mtodos j mencionados neste trabalho. Os pulsos gerados pelo
circuito integrado 3525 determinam esta converso.
A Figura 75 mostra as caractersticas dos pulsos do CI 3525 que controla
as chaves do conversor DC/DC.

130

Figura 75 Pulsos de controle da converso DC/DC.

So gerados pulsos para os dois conversores que trabalham alternando


entre si, portanto os dois sinais so iguais s mantendo uma defasagem de
180 um do outro. Eles tm a amplitude de 10V e esto sintonizados na
freqncia de pouco mais de 15kHz. A largura dos pulsos depende da
necessidade de liberar tenso pelas chaves, deixando-as mais ou menos
tempo conduzindo.
A Figura 76 mostra os pulsos gerados pelo circuito responsvel pela
sintonia do conversor DC/DC com o inversor. Estes pulsos so ligados ao pino
3 do CI 3525 e desta forma se tem a sintonia em freqncia entre os pulsos de
cada conversor.

131

Figura 76 Pulsos para o sincronismo dos conversores.

11.3 - Onda Senoidal


Parte fundamental na modulao dos pulsos do inversor, a onda senoidal
deve ter suas caractersticas bem definidas e de boa qualidade, para que se
tenha uma sada com caractersticas semelhantes. E atravs dela tambm
que se d os ajustes que so refletidos na sada.
Na Figura 77 est apresentada a onda senoidal utilizada como referncia
no controle. Ela possui uma freqncia de aproximadamente 60Hz que a
freqncia desejada na sada. Seu nvel DC est em 6V, que foi o nvel de
referncia no controle para que os sinais alternados no tivessem valores
menores que zero. Esta onda foi considerada de boa qualidade para o uso.

132

Figura 77 Onda senoidal de referncia.

11.4 - Onda Triangular


A onda triangular a portadora de alta freqncia no sistema de
modulao utilizado. Ela est na freqncia de aproximadamente 30kHz, com
amplitude prxima a da onda senoidal e a referncia DC, assim como a
senide, est nos 6V. A Figura 78 mostra a onda triangular utilizada como parte
deste controle.

133

Figura 78 Onda triangular de alta freqncia.

11.5 - Pulsos de Chaveamento do Inversor


Os sinais gerados para o controle do inversor possuem pulsos
complementares, como j mencionado anteriormente, isto ocorre para que as
chaves estejam conduzindo de forma que se alternem entre si. Os pulsos ficam
variando sua largura constantemente de acordo com o princpio da modulao
PWM senoidal.
A Figura 79 demonstra a modulao PWM senoidal, onde se pode
observar as variaes da largura dos pulsos de acordo com cada parte da
senide que est sendo constituda.

134

Figura 79 Pulsos da modulao PWM Senoidal.

As Figuras 80 e 81 mostram os sinais em uma base de tempo menor,


sendo possvel visualizar melhor as caractersticas de cada pulso. Tambm
nota-se de forma clara que os pulsos para cada par de chaves do inversor
esto complementares. Esto com uma freqncia de aproximadamente 30kHz
e uma amplitude de 10V.

135

Figura 80 Pulsos de controle do inversor.

Figura 81 Pulsos de controle do inversor.

136

11.6 - Forma de Onda na Carga


A forma de onda na carga era o objetivo de toda estratgia de controle.
Uma onda senoidal de boa qualidade, com amplitude e freqncia nos padres
para a utilizao do no-break em diversos aparelhos que requerem boa
regulagem.
A onda obtida na carga est mostrada na Figura 82. Uma onda senoidal de
boa qualidade com seus picos bem regulados com pequenas distores. A
onda est com caractersticas semelhantes s da rede eltrica, sua freqncia
est bem prxima dos 60Hz e sua amplitude tem o valor de 180V, e com isso
uma tenso eficaz de aproximadamente 127V, tendo uma pequena queda
quando a rede eltrica fica ausente. Esta queda j era prevista em projeto, visto
que a tenso do barramento DC um pouco menor quando alimentado
somente pela bateria, a necessidade deste menor valor de tenso no
barramento foi explicada no captulo 8.

Figura 82 Forma de Onda na Carga.

137

11.7 - Soft-Start
A suavizao de uma onda atravs do circuito de soft-start para evitar
danos ao sistema devido a transitrios, pode ser observada neste no-break. O
soft-start

foi

aplicado

na

onda

senoidal

de

referncia

assim

conseqentemente, se obteve tambm uma partida suave no sinal de sada.


A Figura 83 mostra o efeito do soft-start sobre a onda senoidal de
referncia.

Figura 83 Soft-start da onda senoidal.

O forte transitrio que aparece no incio desta onda no refletido para a


sada. Isto ocorre devido ao tempo que o circuito gerador de pulsos para o
inversor espera para comear a transmitir os pulsos. Tempo este que definido
pelo circuito de comutao do rel, explicado anteriormente.
A Figura 84 apresenta a onda de sada na carga com seu incio sob o efeito
do soft-start, Mantendo uma elevao de amplitude de forma gradativa.

138

Um pequeno spike observado neste sinal, mas se encontra em padres


aceitveis para o sistema.

Figura 84 Soft-start da onda na carga.

11.8 - Sinal para Comutao do Rel


A Figura 85 mostra o sinal de comutao do rel e o sinal de alimentao
do sistema de controle. Desta forma pode-se relacionar um ao outro e observar
o tempo que o sinal de disparo leva para passar o zero para nvel alto. Este
sinal utilizado em vrias partes do controle, como se pode observar nas
descries anteriores.

139

Figura 85 Comutao do rel em relao a alimentao do sistema.

11.9 - Concluso (Resultados Experimentais)


Neste captulo foram apresentados os resultados experimentais obtidos
nesta etapa do trabalho. Com o no-break funcionando de forma integrada foi
demonstrado cada forma de onda do seu controle e as formas de onda
resultantes da ao deste controle.
Com cada forma de onda pode se ter uma melhor idia do funcionamento
do circuito de cada bloco funcional, e assim com suas interaes, gerar cada
pulso responsvel pelo controle dos conversores.
Tambm foi observada experimentalmente a ao de todo sistema no
contexto de presena da rede eltrica e de sua ausncia, tendo o no-break um
funcionamento de qualidade em ambos os casos. E a estabilidade do sistema
no instante da transio destes dois estados.

140

12 - Consideraes Finais (Etapa de Controle


Analgico)

Nesta etapa do trabalho foi apresentado todo o desenvolvimento e


construo do circuito de controle analgico de um no-break. A partir do
princpio de funcionamento foi traada uma estratgia de controle e seu
desenvolvimento se deu por partes, atravs de cada bloco funcional. No
desenvolvimento tambm foram sendo efetuados ajustes que s puderam ser
detectados na prtica com o funcionamento conjunto da placa de potncia e o
controle analgico do no-break.
Chegou-se a um circuito de controle analgico completo, responsvel por
todo ajuste e controle das funes do no-break. O circuito completo est
representado no anexo 2, com todas as interligaes de todos os blocos
funcionais descritos neste trabalho.
Os conversores puderam ser controlados atravs dos pulsos gerados no
controle. As estratgias de modulao dos pulsos foram escolhidas e
implementadas com sucesso. Na converso DC/DC o uso do circuito integrado
3525 otimizou o circuito, alm de fornecer recursos de controle que foram bem
aproveitados, fazendo com que o resultado da elevao de tenso necessria
para o sistema pudesse ser feita de forma adequada e a tenso gerada,
ajustada dentro dos padres de funcionamento do no-break.
J na converso DC/AC a modulao por largura de pulso senoidal, deu ao
inversor uma tima qualidade de sinal na sua sada, uma onda senoidal de boa
qualidade com baixa taxa de distoro, podendo ser utilizada nos diversos
aparelhos que exigem uma onda senoidal para o seu funcionamento correto.

141

As protees deram ao no-break um boa confiabilidade para seu uso, e


com elas as alteraes ou condies indesejadas no afetam o sistema, ou
quando em casos extremos o no-break para de funcionar para evitar danos a si
e carga conectada nele. As sinalizaes atuaram de forma clara a
demonstrar as informaes necessrias ao usurio do no-break, de como e
qual a situao atual do mesmo.
A continuidade da energia na sada do no-break quando se ausenta a rede
eltrica ocorreu de forma satisfatria, no sendo sentida pela carga a falta da
rede eltrica enquanto a bateria possuir carga suficiente para mant-la em
funcionamento.
Assim o trabalho foi concludo e os objetivos desejados foram alcanados.
O prottipo funciona de forma esperada com boa qualidade.

142

13 - Circuito de Controle Digital por DSC

13.1 - Introduo
O controle digital do conversor permite a implementao de algoritmos
mais eficientes e uma maior integrao do sistema. Para a implementao do
controle digital dos conversores necessrio conhecer alguns conceitos que
so apresentados neste captulo.
Evidencia-se, na literatura atual e em aplicaes industriais, o uso cada vez
mais freqente de tcnicas de controle digital via microprocessadores no
comando e controle de sistemas. Novas tcnicas e equipamentos vm surgindo
em todas as reas do conhecimento humano, na Engenharia Eltrica muitos
dos admirveis utenslios, que facilitam e agilizam a vida, trazem embutidas
tecnologias, em que as solues propostas muitas vezes so extremamente
sofisticadas, tornando-as economicamente inviveis e possivelmente no
implementveis por mtodos clssicos da Eletrnica Analgica-Digital. O uso
de microprocessadores e DSPs tem viabilizado a operao de sistemas que
requerem processamento e controle mais refinados.
Com o avano nas reas de controle de processos, novas leis de controle
cada vez mais eficientes tm sido estudadas e analisadas, conseguindo-se
maior eficincia no controle de equipamentos, porm o preo que se paga
tangente ao custo e dificuldade de implementao de tais tcnicas, ficando,
s vezes, as solues encontradas confinadas a aplicaes apenas no campo
terico, por intermdio de simulaes. Assim, os microprocessadores, cada vez
mais sofisticados e atrativos financeiramente, so hoje notoriamente evidentes
em uma grande gama de equipamentos e instrumentos, parecendo tornar-se
uma tendncia natural a migrao para sistemas de controle digital baseados

143

em microprocessadores. Pode-se citar as seguintes qualidades inerentes ao


uso do controle digital:

Flexibilidade na implementao do controlador dinmico na malha


de realimentao. Atribui-se a flexibilidade ao fato de a lei de
controle

dar-se

por

linhas

de

programao,

bastando

reprogramao para se obterem diferentes tipos de controladores ou


mesmo para efetuar ajustes no controlador.

A capacidade de deciso lgica e de armazenamento de dados,


caracterstica de sistemas digitais, traz como atrativo a facilidade de
incorporao e uso de funes como alarme, o controle de partida
suave ou de desligamento do processo, as funes de superviso
dos diversos componentes integrantes do processo, as sinalizaes
e as tomadas de decises.

Menor custo e maior confiabilidade no controle de processos que


envolvam a implementao de vrias malhas de um processo
complexo, j que praticamente se trata do mesmo hardware utilizado
para sistemas monovariveis, pois muitas vezes o sistema j
disponibiliza diversas entradas A/D e D/A, podendo-se monitorar
sistemas multivariveis.

Maior imunidade a rudos, pelo fato de que, uma vez feitas


adequadamente as aquisies dos sinais pertencentes ao sistema,
os dados so armazenados na memria e submetem-se apenas s
manipulaes e transferncias internamente no processador, desta
forma ficando ele imune a rudos provocados pelos elementos
analgicos do sistema exterior.

Em contrapartida, algumas desvantagens tambm existem:

O custo pode tornar-se elevado. Um exemplo seria nas aplicaes


de controle menos sofisticadas e de baixo custo, em que o processo
pode

ser

controlado

com

emprego

de

controladores

convencionais. Em casos como esse, o custo do sistema de controle


baseado em processadores pode comprometer o custo final do
projeto. No muito simples ponderar esses fatores, faz-se
necessrio observar com mais cuidado o processo a ser controlado,

144

a fim de evitar desperdcios dos componentes na capacidade de


processamento, memria, perifricos, etc.

Podem tornar-se complexos a anlise e o projeto no domnio


discreto, visto que existem vrios problemas a considerar, tais como
erros de quantizao, perodo de amostragem do sinal e a resoluo
dos conversores A/D e D/A. Quanto ao tipo de microprocessador,
tambm devem ser analisados os seguintes aspectos: ponto fixo ou
ponto flutuante, memria necessria, perifricos necessrios, noo
de lgica, algoritmos e programao.

Em grande parte das aplicaes em Eletrnica de Potncia, como


retificadores, conversores CC-CC, inversores e UPS, necessita-se de controle,
superviso e regulao das variveis de tenso e corrente, por exemplo. O
controle dessas variveis pode ser realizado atravs do comando adequado
dos interruptores da estrutura de potncia em questo. Pode-se citar um
mtodo que normalmente empregado, no qual se tem a variao do tempo de
conduo dos interruptores em relao ao perodo de comutao. Esta tcnica
chamada de PWM (modulao por largura de pulso) e vem sendo empregada
ao longo dos tempos.
Com o avano tecnolgico novos componentes tm sido desenvolvidos no
intuito do controle e gerao mais simples e econmicos desse tipo de
modulao (PWM), entre os meios emergentes, destacam-se componentes
eletrnicos desenvolvidos especificamente para esse fim, e o uso cada vez
mais freqente de tcnicas digitais microprocessadas.
Mediante o comando adequado, pode-se controlar as estruturas de
Eletrnica de Potncia. Isso se traduz em diversas aplicaes, tais como:

controle de mquinas;

fontes de alimentao com alta densidade de potncia;

UPS (no-breaks);

sistemas eletrnicos para iluminao;

sistemas eletrnicos para o acionamento de motores eltricos;

unidades retificadoras para telecomunicaes;

carregadores de bateria;

estabilizadores de tenso;

145

sistemas eletrnicos para aquecimento resistivo e indutivo;

processamento de energia fotovoltaica;

13.2 - Microprocessadores, Microcontroladores, DSPs e DSCs


De uma forma geral, todos so circuitos integrados disponveis nos mais
variados tipos de encapsulamentos e destinados ao tratamento de sinais
digitais.
Primeiro

vieram

os

microprocessadores,

substituindo

milhes

de

transistores nos computadores, que chegavam a ocupar um andar de um


prdio e aps esta inveno diminuram consideravelmente de tamanho. So
usados principalmente para processamentos complexos e possuem alto custo.
Dentre algumas aplicaes esto presentes nos microcomputadores pessoais,
em aparelhos eletrnicos de uso domstico e nos equipamentos mdicos.
Este circuito integrado no consegue fazer nada sozinho, pois so
necessrios outros dispositivos externos para que ele se torne til. uma
memria de programa, que deve conter o programa que ser executado pelo
microprocessador. Necessita inclusive barramentos entre essa memria e o
microprocessador, que so a

ligao fsica entre a memria e o

microprocessador (fios ou trilhas). No caso de um barramento de dados de


16bits, haver 16 fios ou trilhas comunicando os dois. Existe a necessidade
tambm do barramento de endereos, o qual seleciona o endereo em que o
dado ser lido ou escrito na memria. Desta forma, em um microprocessador
deve ser acoplado externamente todo componente necessrio para o
cumprimento da aplicao.
Os

microcontroladores,

em

geral,

possuem

todos

os

perifricos

necessrios em um nico chip. Seu tamanho tambm muito pequeno, mesmo


contendo vrios perifricos como: memrias, barramentos, timers, portas de
comunicao, conversores de sinal analgico para digital, etc. Eles possuem
desempenho menor que os microprocessadores, mas so ideais em aplicaes
que necessitam de menores dimenses, tempo e custos.
Este dispositivo amplamente usado em automao industrial, residencial
e predial, eletrodomsticos, brinquedos eletrnicos e em qualquer situao em

146

que seja necessrio o controle de um dispositivo de sinais eletrnicos. Por


exemplo, em um elevador, quando algum aperta um boto para ir at um
andar especfico, o microcontrolador recebe essa informao como um dado de
entrada, interpreta-o e aciona os motores do elevador at aquele andar, pra e
abre as portas.
O processador digital de sinais (DSP) vem do ingls Digital Signal
Processing e pode definir tanto o processador quanto o processo em si.
Difere dos processadores genricos na sua arquitetura de hardware, software
e no conjunto de instrues otimizado para o tratamento digital de sinais. Esse
tipo de tratamento exige um alto desempenho para aplicaes numricas em
tempo real, as quais seriam impossveis de serem realizadas por circuitos
analgicos. Alm de ser um sistema flexvel devido sua caracterstica de
reconfigurabilidade, o DSP oferece inmeras vantagens em relao a outros
sistemas ou dispositivos.
Ele projetado para realizar diversas manipulaes e transformaes
matemticas em um conjunto de nmeros extrados do mundo real (analgico)
rapidamente. Os humanos adquirem dados analgicos do mundo real, como o
som, as imagens, a temperatura e a intensidade luminosa, processam essas
informaes para realizar uma srie de aes a partir dessa entrada. Tudo
isso acontece a todo momento, e denomina-se tempo real .
Os DSPs tambm operam em tempo real, tratando sinais contnuos,
assim como os seres humanos. Para isso necessrio o conversor analgicodigital (A/D), que captura os sinais contnuos da natureza (analgicos) e os
transforma em dados digitais discretos. nessa informao digital que o
processador deve efetuar operaes complexas que levaro a um novo dado
digital que passar por um conversor digital-analgico (D/A), que entregue
ao meio em tempo real.
Para ilustrar essa situao, imagine um processo de filtragem de udio. O
conversor A/D adquire o sinal de udio e o entrega ao DSP como uma
seqncia de amostras individuais (pontos capturados). Para realizar o
algoritmo de filtragem em tempo real, o DSP deve efetuar todos os clculos e
operaes necessrias para o processamento de cada amostra e entrega-las
ao conversor D/A, antes que a prxima amostra seja adquirida.

147

Enquanto

os

microcontroladores

ou

microprocessadores

comuns

necessitam de vrios ciclos de clock para executar uma instruo mais


complexa, os DSPs possuem conjuntos de instrues que, em situaes
especiais, podem executar um bloco de instrues em um ciclo de clock. Esta
particularidade associada a outros recursos (acesso direto memria,
conversores A/D e D/A eficientes) torna possvel a operao em tempo real.
Fica fcil perceber que um dos "gargalos" nesse sistema so os conversores
A/D e D/A que devem ter alta taxa de amostragem (aquisio e
processamento dos dados) para um resultado satisfatrio.
Os primeiros processadores de sinais digitais surgiram na dcada de 60 e
com custos elevados, o que limitava as aplicaes rea militar e mdica. Foi
na dcada de 80 que os DSPs tomaram-se comerciais, logo determinando
produtos como os telefones, modems, sintetizadores de udio e outros.
Os DSPs possuem algumas caractersticas particulares projetadas para
habilitar tarefas repetitivas de alta performance. Destas, a mais expressiva
talvez seja a habilidade de realizar uma operao de multiplicao e
acumulao (denominada normalmente de MAC, Multiply and Acumulate) em
um nico ciclo de instruo. Essa operao muito utilizada em aplicaes de
processamento de sinais digitais em tempo real.
Para alcanar uma operao MAC em nico ciclo de clock, os
processadores de sinais digitais precisam integrar tanto uma unidade
multiplicadora quanto uma acumuladora em seu barramento de dados
principal. Esta a diferena principal, em termos de hardware, entre os
microcontroladores e microprocessadores, pois ambos no possuem essas
unidades, como mostrado anteriormente. Suas operaes de multiplicao so
realizadas por somas e deslocamentos sucessivos.
Uma caracterstica interessante dos DSPs sua capacidade de realocar
o espao no utilizado da memria de programa para uma extenso da
memria de dados. Uma vez que o hardware estiver em execuo, o
programa contido na memria de programa no ser alterado, possuindo
tamanho fixo, possibilitando facilmente determinar o espao no utilizado e
aloc-Io para outra funo.
Atualmente os DSPs so empregados em uma grande variedade de

148

produtos eletrnicos, mas dominam algumas aplicaes mais comuns, como:

Nas

telecomunicaes

(filtros, compresso,

multiplexao

cancelamento de eco);

No processamento de udio (gravao em estdio, sintetizadores,


mixers, filtros e reconhecimento de voz);

No processamento de imagens (principalmente na rea mdica);

Na instrumentao e controle (preciso das medidas e controle


industrial).

Os controladores de sinais digitais (DSC), so processadores de sinais


digitais (DSP), porm esta sigla se refere aplicao (o processamento de
sinais digitais), j a denominao controladores de sinais digitais (DSC) referese ao hardware. So denominados controladores de sinais digitais, porque em
sua pastilha h outros perifricos alm do processador, mas possuem as
inovaes dos DSPs.
Os controladores de sinais dsPIC da Microchip so dispositivos que
absorvem as principais caractersticas do mundo dos microcontroladores (o
baixo custo e a variedade de perifricos internos), unindo com a arquitetura
voltada para o processamento em tempo real dos DSPs, assim, criando uma
linha de dispositivos intermedirios. Possuem encapsulamentos pequenos,
como o formato PDIP, utilizado em matrizes de contato, de 18 pinos, o que
no comumente visto nos DSPs.
So designados para aplicaes de udio e controle de movimentos
(motores, encoders, etc.), de forma geral, para as aplicaes que os
microcontroladores no so capazes de atender. Eles possuem o melhor dos
dois mundos dos microcontroladores (tamanho, custo e perifricos internos) e
DSPs (velocidade de processamento, MAC).
Aps esse detalhamento da unidade central de processamento de um
sistema embarcado, sabe-se que esta pode ser considerada uma caixa-preta
que realiza o controle de sinais de entrada, sada ou ambos. Esses sinais
podem ser de diversas tenses diferentes, pois dependem das especificaes
da unidade de controle. Geralmente esto agrupados em categorias devido
temperatura do local de trabalho e suas tenses de entrada e sada so:

149

espacial, militar e de uso geral. Nesta ltima categoria, as tenses de entrada


e sada so em seu geral de 3.3V ou 5V.
O item a ser controlado pode no trabalhar com a tenso de entrada ou
sada da unidade de controle, ento se utiliza uma interface para realizar a
conversao das duas partes.

13.3 - Processamento em Tempo Real


O

processamento

em

tempo

real

acontece

num

sistema

cujo

funcionamento se d no apenas pela execuo correta das operaes


realizadas pelo processador, mas tambm por um tempo ideal de
processamento dessas operaes. Isso quer dizer que, entre o processo de
aquisio dos dados e a entrega dos resultados, vai existir um tempo mximo
em que o processador deve manipular tais dados. O processamento desses
dados deve ser efetuado antes da chegada de novos dados.
Quando se fala em tempo real, no quer dizer que a aquisio,
processamento e entrega devem acontecer de forma instantnea. O tempo
mximo de processamento vai depender do objetivo do sistema. Apesar disso,
o processamento em tempo real geralmente acontece na ordem de
milissegundos (ms) ou nanossegundos (ns). Tempo to curto, que parece que
o evento instantneo.
As tarefas em sistemas de tempo real so divididas entre tarefas com
prazo: rgido (hard deadline) e flexvel (soft deadline). Os significados dessas
duas tarefas so discutveis, pois se encontram diversas definies na
literatura sobre o assunto. Uma delas fala que o prazo rgido se uma falha no
cumprimento do prazo for fatal ou catastrfica, como em sistemas de controle
de vo ou gerenciamento de usinas nucleares. Outra definio para prazo
rgido no caso da ocorrncia de falhas que levem o resultado do
processamento se tomar intil. J para o prazo flexvel, considera-se uma
falha um erro aceitvel de processamento. Esses erros so medidos como
qualidade do servio. Muitos erros correspondem a uma baixa qualidade de
servio.
Esse tipo de processamento geralmente exige grande capacidade

150

computacional, devido necessidade da resoluo de equaes. Os


microcontroladores tm dificuldades para executar tal processamento. Assim,
essa tarefa fica direcionada aos DSP's, DSC's e processadores de alto
desempenho. possvel ainda alcanar esse tipo de processamento usando
tcnicas de associao de processadores menos velozes, o chamado
processamento distribudo (comumente realizado por uma associao de
microcomputadores). um agrupamento de processadores, que tem um
principal (um chefe), que distribui o processamento entre os demais.
Realizando um processamento paralelo de funes, diminuindo o tempo de
resoluo de operaes complexas. No sendo uma soluo to trivial, no
muito adotada, apenas em casos especficos.

13.4 - Converso A/D e D/A


De um modo geral, os sinais encontrados no mundo real so contnuos
(ou analgicos, pois variam no tempo de forma contnua), como, por exemplo:
a intensidade luminosa de um ambiente que se modifica com a distncia, a
acelerao de um carro de corrida, etc.
Os sinais manipulados por computadores e sistemas embarcados so os
digitais, por exemplo: um computador processando dados lidos de um drive de
CD-ROM, os dados enviados para a tela do monitor, etc.
A converso analgico-digital (A/D) o processo que possibilita a
representao dos sinais analgicos no mundo digital. Desta forma possvel
utilizar os dados extrados do mundo real para clculos ou operar seus
valores.
Em geral, o conversor A/D est presente internamente nos processadores
e controladores de sinais digitais e alguns microcontroladores, mas tambm
existem circuitos integrados dedicados a este fim.
Basicamente um bloco que apresenta portas de entrada e sada. A
entrada recebe sinais eltricos de forma contnua e possui uma faixa de
tenso de entrada mxima. Nos microcontroladores que possuem um
conversor A/D e operam na faixa de 5V, geralmente a faixa de tenso, aceita
sinais eltricos entre [-5V a +5V].

151

Na sada o sinal amostrado, a cada intervalo de tempo fixo (determinado


pela freqncia de amostragem) disponibilizado um certo valor que
representa

sinal

original

naquele

momento

(quantizao).

Estas

caractersticas esto relacionadas preciso do conversor.


Para ilustrar essa situao tem-se a Figura 86, imagine que voc queira
mostrar a temperatura de um forno num display de cristal lquido (LCD). Para
isso seriam necessrios alguns componentes eletrnicos. Os mais expressivos
so: um transdutor (sensor de temperatura), um display de cristal lquido
(LCD), um processador digital e um conversor analgico digital.

Figura 86 Diagrama de blocos de uma converso A/D.

A temperatura um sinal analgico. O sensor de temperatura converte a


temperatura em um sinal de impulsos eltricos analgicos. O conversor A/D
recebe esse sinal e o transforma em sinal digital, atravs da amostragem,
entregando ao processador. Este, por sua vez, manipula esses dados e enviaos para o display, mostrando em graus a temperatura do forno. Ainda nesta
figura possvel verificar trs importantes etapas: a aquisio, a amostragem
e o processamento. A Figura 87 mostra a representao daquele sinal
analgico e seu equivalente na forma digital.

Figura 87 Representao do sinal analgico e digital.

152

A informao digital diferente da sua original contnua em dois aspectos


fundamentais:

amostrada porque baseada em amostragens, ou seja, so


realizadas leituras em um intervalo fixo de tempo no sinal contnuo;

quantizada porque atribudo um valor proporcional a cada


amostra. Explorando um pouco mais o caso do forno, a Figura 88
detalha um pouco mais as trs etapas mais importantes do
processo, j citadas;

Neste diagrama de blocos, o sinal analgico capturado pelo transdutor


(sensor), em seguida passa por um filtro, denominado de anti-alias, a fim de
diminuir os rudos. A chave representa a freqncia de amostragem do
conversor A/D, sincronizado com um clock (relgio). O conversor A/D faz a
converso no sinal entregando ao processador o sinal digitalizado.

Figura 88 Diagrama de blocos da converso A/D.

A freqncia de amostragem o nmero de amostras capturadas em um


segundo. Esta freqncia dada em Hertz (Hz) e considerada adequada
quando se pode reconstruir o sinal analgico razovel a partir das amostras
obtidas na converso.
A taxa de converso ou freqncia de amostragem de suma importncia
para o processamento de sinais reais. Para obter uma taxa de amostragem
adequada, existe o teorema da amostragem. Ele indica que um sinal contnuo
x(t) pode ser amostrado adequadamente se tiver banda limitada, ou seja, seu
espectro de freqncia no pode conter freqncias acima de um valor
mximo (Fmx - freqncia mxima). Alm disso, outro ponto importante que
a taxa de amostragem (Fa - freqncia de amostragem) deve ser escolhida

153

para ser no mnimo duas vezes maior que a freqncia mxima (Fmx). Por
exemplo, para representar um sinal de udio com freqncias at 10kHz, o
conversor A/D deve amostrar esse sinal utilizando uma freqncia de
amostragem (Fa) de no mnimo 20kHz.
Para melhor entendimento, v-se como funciona um conversor A/D de 4
bits (Figura 89).

Figura 89 Conversor A/D de 4 bits.

Com 4 bits o mximo representvel o nmero 16. Isso quer dizer que
tem-se uma faixa de [O a 15] (no sinalizado) ou [+7 a -8] (sinalizado). Nesse
conversor fictcio, tem-se uma variao a cada 1 volt. A Figura 89 mostra um
sinal de udio de 200 Hz variando de [+7 a -8] volts, que ser capturado por
um microfone. Conforme o teorema da amostragem, seria necessria uma
freqncia de amostragem de 400 Hz.
Lembrando que, se o sinal de udio possusse amplitude maior que a faixa
representvel do conversor A/D [+ 7V e -8V], ento no seria possvel
converter tal sinal.
Existe tambm o conversor digital-analgico (D/A), que possui todas as
caractersticas do conversor A/D, os quais diferem apenas porque o D/A pega
um sinal digital e transforma em analgico. Por exemplo, em uma aplicao de
udio, um microfone captura o udio e o envia a um conversor A/D, que
entrega o sinal amostrado e quantizado a um processador digital. Este ltimo
efetua diversas operaes com o sinal de udio. S ento o processador envia
ao conversor D/A, para remontar o sinal analgico a partir do sinal digital, para
ser reproduzido em um alto-falante.
Um exemplo de circuito integrado comercial dedicado para a converso

154

A/D de 16 bits o ADS1100, e para a converso D/A de 16 bits o DAC1221.

13.5 - Segurador de Ordem Zero (ZOH)


As variveis a serem manipuladas digitalmente precisam ser amostradas e
convertidas em valores numricos, uma vez que estas grandezas so de
caractersticas analgicas. As amostras so atualizadas a cada intervalo de
amostragem com a chegada de nova amostra. Dessa forma, precisa-se de
dispositivos que possuam a caracterstica de amostrar e segurar o sinal
analgico para que no ocorram erros no momento da converso do sinal
analgico em digital por intermdio do conversor A/D. Esse dispositivo chamase amostrador e retentor de dados (S/H - sample-and-hold). Basicamente eles
tm a funo de coletar as amostras (sample) e mant-las constante (hold)
durante o intervalo de amostragem e tambm so utilizados na sada de
conversores D/A.
Um dos dispositivos de reconstituio de sinais mais utilizados em controle
digital o ZOH (zero order hold), assim chamado devido ao fato de sua sada
ser uma interpolao de ordem zero das amostras de entrada. Um dispositivo
de S/H pode ser representado por um amostrador ideal seguido de um ZOH.

13.6 - Efeito da Amostragem Aliasing


Normalmente filtros analgicos passa-baixa so colocados antes da
amostragem do sinal analgico pelo conversor A/D, sua funo reduzir as
componentes de altas freqncias no sinal a fim de prevenir o fenmeno
chamado de aliasing. Esse efeito ocorre em sistemas amostrados, quando um
sinal de alta freqncia assume a identidade de um sinal de freqncia menor.
Para evitar este inconveniente que ocasiona erros de interpretao do sinal
amostrado, deve-se respeitar o teorema da amostragem de Shannon, cujo
enunciado diz que possvel reconstruir teoricamente um sinal a partir de suas
amostras se a freqncia de amostragem for maior que 2 vezes a componente
de maior freqncia contida no sinal amostrado.
Em projetos de controle digital, normalmente colocam-se filtros passabaixa, chamados filtros antialiasing, cuja freqncia de corte localiza-se na
metade da freqncia de amostragem do conversor A/D. Desta forma evita-se

155

que qualquer componente de freqncia elevada seja amostrada e passe a


representar uma outra componente de freqncia diferente (aliasing), podendo
prejudicar e interferir na dinmica das malhas de controle.

13.7 - Ponto Fixo e Ponto Flutuante


Uma das primeiras decises a ser feita em uma aplicao sobre quando
utilizar um processador de ponto fixo ou flutuante. Em geral arquiteturas em
ponto flutuante so mais caras que as de ponto fixo, este seria um dos
argumentos a favor dos pontos fixos. Outro argumento bastante utilizado
refere-se ao fato de que o formato em inteiro ideal em aplicaes reais onde
se utilizam conversores A/D e D/A, pois estes normalmente convertem
grandezas analgicas em nmeros de ponto fixo e vice-versa.
Entretanto o ponto flutuante permite representar adequadamente escalas
com grandes variaes, ou seja, permite uma faixa dinmica maior e ainda
melhor preciso por apresentar um nmero de bits mais elevado (em preciso
simples na norma IEEE-754 define uma palavra de 32 bits). A principal
caracterstica na representao em ponto flutuante que os nmeros no so
uniformemente espaados, no formato mais comum (ANSI/IEEE 754-1985)
representam-se os nmeros compreendidos no intervalo de 3,4 x 1038 1,2
x 10-38. Desta forma, entre nmeros grandes o incremento pode ser maior, mas
na representao entre nmeros muito pequenos, empregam-se espaamentos
pequenos. Esta flexibilidade em representar nmeros pequenos e grandes a
principal vantagem da utilizao de nmeros em ponto flutuante. Sendo assim,
a aritmtica em ponto flutuante traz como benefcio principal a facilidade de
operao e manipulao em aplicaes que requeiram manuseio com
diferentes magnitudes numricas, por exemplo em situaes que utilizam
excessivas operaes de produtos e acumulaes, como filtros e controladores
digitais. Se utilizar aritmtica em ponto fixo, o projetista dever encarregar-se
de se certificar de que a cada operao os valores envolvidos estejam
devidamente representados e tambm deve ser considerada em cada
operao a possibilidade de ocorrerem overflow/underflow e os erros de
arredondamento. Cabe salientar que os problemas ocasionados pelo
comprimento finito da palavra utilizada (16, 32 bits...) para se representar os

156

valores numricos ocorrem em ambas as operaes aritmticas, tanto nas de


ponto fixo como nas de ponto flutuante.

13.8 - Processamento Digital de Sinais


O processamento digital de sinais manipula um sinal digitalizado vindo de
um sistema contnuo (analgico) que foi amostrado e quantizado. Aps a
converso A/D, um sinal contnuo passa a ser representado por um conjunto
de valores numricos discretos. Sendo assim, mais fcil e conveniente realizar
manipulaes e transformaes matemticas com esse conjunto de nmeros,
do que se eles estivessem no domnio analgico.
Nesse tipo de processamento, observam-se algumas vantagens como a
integrabilidade, flexibilidade, repetibilidade, preciso e o processamento de
alta complexidade. Como desvantagens pode-se citar a necessidade de
utilizar conversor analgico-digital (A/D) e digital-analgico (D/A), filtros antialias, limitao em freqncia e rudos de quantizao.
Para que seja processado algum sinal digital, necessrio um sinal fsico.
Tambm chamado de sinal real, pode-se citar o som, a intensidade luminosa,
a temperatura, a presso atmosfrica, etc. Esses sinais so capturados por
um transdutor que converte tal sinal fsico em sinal eltrico. Desta forma podese dividir o processamento digital de sinais nas seguintes etapas: aquisio,
amostragem e processamento.
Quanto aos sinais analgicos e digitais, qual a diferena entre eles? Para
responder a esta pergunta, analisa-se a Figura 90. Na qual pode-se perceber
um grfico da tenso (V) pelo tempo (t). Isso representa uma tenso variando
no tempo, como, por exemplo, a energia que sai da fonte de um computador.
Neste grfico tem-se a funo contnua V da varivel contnua t, ento tem-se
um sinal analgico V(t).
Representando o mesmo sinal de forma digital, tem-se uma funo
discreta Vk da varivel discreta amostrada tk sendo k um inteiro (Vk = V(tk)) e
representa a iterao durante o processo de amostragem. A Figura 90 mostra
o sinal digitalizado.

157

Figura 90 Sinal digitalizado.

Com o processamento digital de sinais possvel realizar vrias aes,


como a remoo da mdia, ampliao do sinal, retificao, normalizao no
tempo ou na amplitude, na suavizao, na derivao e na integrao. A Figura
91 ilustra a retificao e amplificao de um sinal de udio. Estes so os
chamados de processamento no tempo. Existe ainda o processamento na
freqncia e um exemplo tpico so as filtragens digitais, que podem ser
algoritmos simples at alguns muito complexos.

Figura 91 Retificao e amplificao de um sinal de udio.

13.9 - Planejamento e Projeto de um Software


A engenharia de software uma rea do conhecimento que apresenta
tcnicas de desenvolvimento de software de uma forma documentada e
organizada para suprir todas as suas necessidades, e ter uma maneira
sistemtica para o desenvolvimento, com o intuito de manter a produo
dentro dos prazos e custos estimados.

158

A engenharia de software tem como finalidade utilizar um conjunto de


mtodos, tcnicas e ferramentas para analisar, projetar e gerenciar o
desenvolvimento e a manuteno do software.
Nota-se que o desenvolvimento de um software no apenas programar
desordenadamente, mas desenvolver uma soluo minimizando tempo, custo
e facilitando as manutenes.
A engenharia de software tem como princpios no desenvolvimento de um
software:

Abstrao: levar em considerao os aspectos mais relevantes,


ignorando os demais;

Decomposio: tem como objetivo dividir o problema em vrios


problemas menores, para facilitar a soluo, para controlar a
complexidade do software;

Flexibilizao: fornecer ao software a possibilidade de ser alterado


sem grandes transtornos no desenvolvimento;

Formalidade: organizar o desenvolvimento de forma padronizada,


seguindo efetivamente o protocolo, para reduzir as inconsistncias;

Generalizao: solucionar um problema de maneira genrica para


reutilizar em situaes semelhantes, minimizando o custo;

Para ilustrar o desenvolvimento de um software, ainda existem os ciclos


de vida de software, uma forma de planejar para ter um controle sobre o
desenvolvimento e as etapas de produo.
O modelo mais simples o clssico (tambm chamado de cascata ou
linear) e o modelo de desenvolvimento mais difundido.
Ele seqencial e prev o retorno fase anterior, para consertar
eventuais inconsistncias em resultados produzidos por atividades anteriores.
Seu funcionamento descrito na Figura 92.

159

Figura 92 Ciclo de vida clssico de software.

13.10 - Linguagem de Programao C


Linguagem de programao C a qual tem se tornado cada dia mais
popular, devido sua versatilidade e ao seu poder. Uma das grandes
vantagens do C que ele possui tanto caractersticas de alto nvel quanto de
baixo nvel.
A linguagem de programao C foi desenvolvida no incio dos anos 70 nos
Laboratrios AT&T Bell, nos Estados Unidos. A motivao para que o autor de
C, Dennis Ritchie, criasse uma nova linguagem de programao foi o
desenvolvimento do sistema operacional Unix. C uma ferramenta to bsica
que praticamente todas as ferramentas suportadas por Unix e o prprio sistema
operacional foram desenvolvidas em C.
C acompanhou o ritmo da distribuio do sistema operacional Unix, que foi
amplamente divulgado e livremente distribudo na dcada de 70. Apesar de
haver compiladores para linguagens mais tradicionais na distribuio Unix,
aos poucos C foi ganhando simpatizantes e adeptos. Atualmente, no h
dvidas de que C uma das linguagens de programao de maior aceitao
para uma ampla classe de aplicaes.

160

Um dos grandes atrativos da linguagem C o balano atingido entre


caractersticas prximas da arquitetura de computadores e caractersticas de
linguagens de programao com alto nvel de abstrao. O ascendente mais
remoto de C, Algol 60, desenvolvida por um comit internacional, foi uma
linguagem que buscava um alto grau de abstrao, com estruturas modulares e
sintaxe regular. Por Algol ser abstrata demais, variantes surgiram que
buscavam aproximar aquela linguagem um pouco mais da mquina, tais como
CPL (Combined Programming Language), desenvolvida na Inglaterra. Esta
linguagem era ainda muito complexa, o que dificultava seu aprendizado e a
implementao de bons compiladores. BCPL (Basic CPL) buscava capturar
apenas as caractersticas principais de CPL, e B (desenvolvida por Ken
Thompson nos Laboratrios Bell, em 1970) levava este objetivo ainda mais
adiante. Entretanto, estas linguagens ficaram to bsicas que tinham pouca
aplicao direta. Ritchie reincorporou algumas caractersticas de alto nvel B,
tais como suporte a tipos de dados, para criar a linguagem C.
A simplicidade de C no restringe, no entanto, a potencialidade de suas
aplicaes. Blocos desempenhando tarefas muito complexas podem ser
criados a partir da combinao de blocos elementares, e este mecanismo de
combinao de partes pode se estender por diversos nveis. Esta habilidade de
construir aplicaes complexas a partir de elementos simples um dos
principais atrativos da linguagem.
O sucesso de C foi to grande que diversas implementaes de
compiladores surgiram, sendo que nem todos apresentavam o mesmo
comportamento em pontos especficos, devido a caractersticas distintas
arquiteturas de computadores ou a extenses que se incorporavam
linguagem. Para compatibilizar o desenvolvimento de programas em C, o
Instituto Norte-Americano de Padres (ANSI) criou em 1983 um comit com o
objetivo de padronizar a linguagem. O resultado deste trabalho foi publicado
em 1990, e foi prontamente adotado como padro internacional. Alm de
padronizar aspectos bsicos da linguagem, ANSI-C tambm define um
conjunto de rotinas de suporte que, apesar de no ser parte integrante da
linguagem, deve ser sempre fornecido pelo compilador.

161

14 - Dsc Utilizado

14.1 - Diagrama de Blocos da Placa de Controle Digital


A Figura 93 mostra o diagrama de blocos da placa de controle digital
implementada com suas respectivas sinalizaes, protees e alimentao.

Figura 93 Diagrama de blocos da placa de controle digital.

Como pode-se observar no diagrama de blocos da Figura 93, o DSC


utilizado foi o DSPic30F2010 fabricado pela Microchip que um DSC de 28

162

pinos, onde pode-se escolher ainda entre os modelos de encapsulamentos DIP


e PLCC, neste projeto optou-se pelo modelo DIP devido a facilidade de
construo da placa e flexibilidade na troca do chip em caso de defeito.
No diagrama de blocos apresentado na Figura 93, pode-se observar que os
sinais que entram na placa esto representados na cor amarela e possuem
uma seta indicando esta entrada, j os sinais que so enviados pelo DSC
(sinais de sada) esto representados na cor verde e possuem uma seta
indicando este sentido, com exceo do sinal de alarme que est representado
na cor vermelha devido a urgncia desta sinalizao.
Os sinais de entrada RS1 e RS2 do bloco interface shunt, so os sinais de
amostragem da corrente de sada do circuito inversor de tenso que retirado
de um resistor shunt montado na placa de potncia, como visto anteriormente
este shunt de liga de constantan.
O bloco sinalizaes locais o responsvel por sinalizar as condies de
carga da bateria, a ausncia de rede eltrica e o bloqueio dos pulsos PWM por
temperatura alta.
O sinal +24V no bloco adequao Vbat um sinal de amostragem do nvel
de tenso atual da bateria, onde neste bloco este sinal sofre uma adequao
de nvel para a leitura do DSC atravs de uma entrada analgica.
Os sinais sada1 e sada2 uma amostragem da tenso de sada do
inversor, onde ainda na placa de potncia sofre uma atenuao e na placa de
controle digital no bloco adequao sinal sada inversor adequado aos nveis
de sinal para entrada analgica do DSC.
O sinal de temperatura proveniente de um sensor de temperatura NTC
que est localizado na placa de potncia referenciado ao terra do circuito, este
sinal na placa de controle digital colocado em srie com um resistor
referenciado para +5V e ligado na entrada analgica responsvel por esta
leitura. Este sinal de temperatura responsvel por duas aes, primeiro
acionar um ventilador na placa de potncia e se a temperatura continuar
aumentado o DSC bloqueia os pulsos PWM do conversor DC/DC e tambm os
pulsos PWM do inversor.

163

O sinal GND o ponto comum (terra) para todos os potenciais da placa de


controle digital.
O bloco interface pulsos conversor DC/DC recebe os sinais PWM enviados
pelo DSC e faz a amplificao destes pulsos para 12V, visto que o DSC envia
estes sinais com amplitude 5V, para da ento disparar os gates dos
MOSFETs dos conversores DC/DC na placa de potncia.
O sinal +12V passa pelo bloco adequao de nvel, que o responsvel
por gerar +5V para alimentao do DSC e referncia para comparaes.
O sinal de sada rel um sinal com amplitude +5V de uma sada digital do
DSC, que quando o sistema iniciado (ligado) aps um tempo implementado
no software libera este sinal que enviado para a placa de potncia para
saturar um transistor NPN e energizar o rel responsvel pelo soft-start.
O bloco interface pulsos inversor, recebe do DSC os pulsos PWM para
acionar os gates dos MOSFETs da ponte inversora Pinv1 e Pinv2 e faz a
amplificao destes sinais para +12V como no caso dos conversores DC/DC.
O sinal de alarme tem amplitude +5V e vai para a placa de potncia, onde
satura um transistor NPN e desta forma aciona um buzzer que ir indicar uma
situao de falta de rede eltrica e sua freqncia de bips ser alterada
conforme a bateria vai se descarregando, visto que sem rede eltrica a bateria
que responsvel em alimentar o circuito inversor pelo seu tempo de
autonomia.
Este sinal que corresponde a falta de rede eltrica, sai do DSC nesta
condio e habilita um circuito analgico que determina a freqncia dos bips,
isto no foi implementado via software no DSC por falta de sadas digitais,
assim como para os leds de sinalizao do nvel de carga da bateria.
Os sinais VCA1 e VCA2 so provenientes de um pequeno transformador
localizado na placa de potncia, quando chegam na placa de controle digital
estes sinais so retificados, filtrados e adequados aos nveis de entradas
analgicas do DSC, tudo isso realizado pelo bloco retificao e adequao
rede, neste circuito utilizado um diodo zener para grampear o sinal em 4V7.

164

O sinal de sada ventilador proveniente de uma sada digital do DSC e


tem a funo de saturar um transistor NPN na placa de potncia para alimentar
um ventilador (cooler) na ocorrncia de temperatura elevada enquanto esta
permanea fora dos nveis normais.
Por ltimo tem-se o sinal de entrada FB, que um sinal de amostragem da
tenso de sada dos conversores DC/DC utilizado para fazer o fechamento da
malha por tenso e conseqentemente o controle automtico da largura dos
pulsos PWM destes conversores.

14.2 - Caractersticas do DSC Utilizado (DSPic30F2010)


A seguir so apresentadas as principais caractersticas do DSPic30F2010:
Dentre as diversas vantagens desta famlia pode-se citar as principais:
1. MCU de 16 bits;
2. Poder de processamento de um DSP, utilizando a arquitetura e

instrues dedicadas;
3. A idia da Microchip desenvolver ferramentas de suporte para

simplificar as aplicaes sem a necessidade de muita base


matemtica. Um exemplo o software para projeto de filtros que
j gera o cdigo C ou assembler e os AN;
4. O preo deve ser equivalente aos microcontroladores da famlia

18;
5. Tecnologia de 0,4u;
6. No possui tecnologia NanoWatt;
7. 84 instrues;
8. 86% das instrues so executadas em 1 ciclo de mquina

(algumas instrues como diviso especial em 18 ciclos);


9. Velocidade de processamento: 30MIPS mximo, nominal: 20

MIPS;
10. Processamento em inteiro ou ponto fixo fracionrio 1.15, ambos

sinalizados ou no sinalizados;
11. Alimentao 2,5 a 5,0V;

165

12. Flexibilidade de clock que possui PLL, divisor de freqncia, RC

interno e possibilidade de oscilao por cristal do timer1;


13. Maior velocidade de wake-up, pois quando o oscilador RC

acorda imediatamente. J com cristal demora alguns ciclos de


mquina para acordar, o que pode demorar alguns ms;
14. A/D de 10 bits a 500ks ou 12 bits a 100ks. Amostragem

simultnea de 4 canais e converso individual a 500ks o que


reduz o freqncia a 125ks;
15. Converso automtica fazendo pooling dos canais e resultado em

buffer de 16 words;
16. O resultado da converso pode ser escolhido entre inteiro

sinalizado ou no e ponto fixo 1.15 sinalizado ou no;


17. A/D pode ser atualizado em sincronismo com o PWM;
18. RAM 32kx16bits;
19. EEPROM 1k a 4k 16 bits;
20. Memria de programa de 64kb ou at 4Mb externa barramento

de programa = 24 bits;
21. 16 registradores W de 16 bits. Alguns so mapeados para

operaes de DSP. W15 = stack pointer;


22. Program counter = 23 bits;
23. Stack feito em ram, ou seja, a limitao da pilha depende da

ram;
24. Multiplicao 17 x 17 bits;
25. 2 acumuladores 40 bits;
26. 2 registradores status (DSP status e MCU status);
27. Buffer circular (filtros digitais);
28. Bit reverse (FFT). O TMS320LF240 demora 74% a mais que o

dsPIC para calcular uma FFT;


29. Instrues DO e REPEAT;
30. WDT de 2ms a 16s com 1% de preciso;
31. Proteo contra falha no cristal, (Main clock failure, o dsPIC

chaveia para o oscilador interno);


32. Cada interrupo tem o seu vetor de interrupo, no sendo

necessrio checar os bits. Interrupes de Trap (falhas no

166

oscilador, execuo de programa em regio invlida para ICD,


tentativa de escrita em rea protegida, diviso por zero e outros);
33. 50 fontes de interrupes, com 7 nveis de prioridade;
34. Instruo DISI desliga interrupes por N ciclos de mquina para

escrever em EEPROM interna, por exemplo;


35. Proteo da memria. Como o componente pode se auto-

programar, se o dsPIC tentar gravar em uma rea de memria


protegida, uma interrupo de Trap gerada;
36. Bootloader;
37. A gravao do componente feita em blocos de 16 bytes e

demora 2ms. O tempo total de gravao fica em torno de 5 a 15


segundos;
38. Timers de 16 bits, mas podem ser cascateados para gerar timer

de 32 bits;
39. Recursos novos no mdulo capture para gerar interrupo a cada

4 captures e outros;
40. Entrada para leitura de 3 Encoders de quadratura, direto de

motores, para controle de posio/velocidade;


41. 8 PWMs simples ou 4 complementares, com dead-band. Pode

ser selecionado PWM tipo edge (todos os pwms sobem ao


mesmo tempo), singe event (usado para correo de fator de
potncia) ou center (nenhum pwm sobe ao mesmo tempo, ideal
para chaveamento de pontes);
42. pino de shutdown do pwm por hardware para eliminar falha de

chaveamento;
43. at 2 UARTs com 4 bytes de pilha cada;
44. I2C multi-master;
45. Leitura de CODEC por hardware;
46. 2 CAN;
47. Dividido em 3 famlias: para motores, sensores e uso geral;
48. Compilador C30 da Microchip;
49. No Mplab, recurso Visual Initializer na opo TOOL para

configurao do componente em diagrama de blocos;

167

50. Software da Momentum Data System para projeto de filtros

digitais;
51. Instruo PWRSAV: em modo idle, cpu pra, mas clock no. Em

modo sleep, possvel acordar por oscilador interno, muito mais


rpido que o externo;
52. Interrupo de low voltage detection;
53. So disponibilizadas famlias de 8, 18, 28 e 40 pinos DIP, alm

das verses SMD;

Figura 94 Pinos DSPic30F2010 28-Pin SDIP.


As funes de cada um destes pinos a seguinte:

168

Tabela 6: Funes dos pino do DSPic30F2010

169

Tabela 7: Caractersticas do DSPic30F2010

170

Figura 95 Diagrama de blocos do DSPic30F2010.

171

14.3 - Fluxograma do Programa (DSPic30F2010)


MAIN:

172

INTERRUPO_A/D:

173

INTERRUPO_TIMER1:

174

15 - Placa de Controle Digital

15.1 - Circuito de Amostragem de Rede Eltrica


Para amostragem do sinal de rede eltrica e deteco de falta de rede
eltrica, foi desenvolvido o circuito da Figura 96, que recebe da placa de
potncia os sinais VCA1 e VCA2 de um pequeno transformador somente para
este propsito. Este sinal retificado e filtrado na placa de controle digital,
tambm possui um diodo zener para grampear o sinal em 4,7V caso ocorra
alguma anormalidade com a rede eltrica, protegendo assim a entrada
analgica do DSC.

Figura 96 Circuito de amostragem de rede eltrica.

15.2 - Circuito de Adequao do Sinal +12V/+5V


Como o DSC e alguns circuitos de adequao necessitam de uma
alimentao em 5V e a placa de potncia disponibiliza apenas um sinal de
+12V, houve a necessidade de implementao de um circuito na placa de
controle digital, que rebaixasse este nvel para tal valor, conforme mostrado na
Figura 97, para tanto foi utilizado um CI (circuito integrado) regulador de tenso
muito comum da famlia 78XX, onde foi utilizado o CI7805. Em conjunto com
este CI regulador de tenso foram adicionados capacitores antes e depois da

175

regulagem para melhoria do sinal (diminuir os nveis de rudo) e tambm dois


leds indicando que as alimentaes esto normais, sendo um led vermelho
ligado ao 12V e um led amarelo ligado ao 5V.

Figura 97 Circuito de adequao +12V/+5V.

15.3 - Circuito Interface dos Pulsos PWM do DC/DC e Inversor


A amplitude do sinal PWM gerado pelo DSC de +5V, por isso foi
necessrio a implementao de um circuito amplificador para elevar a
amplitude deste sinal para +12V, lembrando que so dois conversores DC/DC
defasados em 180 onde foi utilizado uma topologia de par complementar, ou
seja, enquanto uma sada est em nvel 1 a outra est em nvel 0 e vice-versa.
As duas sadas PWM complementares Pcon1 e Pcon2 foram amplificadas e
tambm as duas sadas PWM Pinv1 e Pinv2 foram amplificadas conforme
Figura 98.

Figura 98 Circuito interface dos pulsos PWM do DC/DC.

176

15.4 - Circuito de Amplificao e adequao do Sinal do Shunt


Para realizar o fechamento da malha do inversor por corrente, foi utilizado
na placa de potncia um resistor shunt de liga de constantan, que proporciona
uma queda de tenso proporcional a corrente que o atravessa. Esta queda de
tenso geralmente da ordem de mV. Para utilizar este sinal em uma das
entradas analgicas do DSC, foi implementada na placa de controle digital um
circuito amplificador de tenso mostrado na Figura 99, para que este valor
amplificado fique na faixa de 0 a 5V proporcionalmente a corrente que
atravessa o resistor shunt.

Figura 99 Circuito interface shunt.

15.5 - Circuito de Adequao do Sinal de Sada do Inversor


Uma amostra da tenso de sada do circuito inversor atenuada ainda na
placa de potncia para ser utilizada no fechamento da malha e controle
automtico por tenso. Esta tenso atenuada ainda alternada e precisa ser
adequada para ento ser utilizada por uma entrada analgica do DSC. O
circuito da Figura 100 foi desenvolvido para este fim.

177

Figura 100 Circuito de adequao do sinal de sada do inversor.

15.6 - Sinalizao de Temperatura


Conforme Figura 101, o sinal de temperatura que sai da placa de potncia,
emitido por um thermistor NTC, entra na placa de controle digital e forma um
divisor de tenso com um resistor de 10k, onde o ponto mdio deste divisor
resistivo ligado entrada analgica do DSC para que o DSC tome as
providncias necessrias com relao medida de temperatura, como, acionar
uma sada digital para ligar um ventilador at que a temperatura retorne aos
nveis considerados normais e em caso de aumento excessivo da temperatura
realizado o bloqueio total do no-break atravs do corte dos pulsos PWM tanto
dos conversores DC/DC quanto do inversor alm de ligar um led vermelho
localizado na placa de controle digital para que o usurio saiba a causa da
parada do equipamento.

178

Figura 101 Sinalizao de temperatura alta.

15.7 - Circuito do DSC


A Figura 101 mostra o circuito completo do DSC, identificando todas as
entradas, sadas e seus respectivos pinos. Neste circuito tambm mostrado o
oscilador (cristal) utilizado e os pinos para gravao do software (PGD e PGC)
assim como os pinos para comunicao serial (TX e RX) e alimentao em 5V.

15.8 - Circuito de Sinalizao de Nvel de Bateria e Falha de


Rede eltrica
Na Figura 102 tem-se o circuito responsvel pela sinalizao do nvel de
carga da bateria e o circuito de alarme sonoro de falta de rede eltrica.
Conforme a bateria vai se descarregando a freqncia dos bips emitidos pelo
sonalarme que encontra-se na placa de potncia vai aumentando at que fique
contnuo, situao que indica que a bateria est totalmente descarregada. A
sada de falta CA do DSC que, nesta condio alimenta via transistor o circuito
integrado 555 que responsvel pela emisso do sinal de alarme que satura
um transistor NPN na placa de potncia e liga o sonalarme. Este circuito foi
mantido o mesmo da placa de controle analgico devido a falta de sadas
digitais do DSC utilizado que de baixo custo, visto que para cada led do nvel
de carga da bateria deveria ser utilizado uma sada digital individual e mais

179

uma para sada de alarme, que para esta foi utilizado o 555. Se fosse utilizado
um DSC com maior quantidade de pinos I/O este circuito analgico seria
facilmente descartado e implementado uma lgica via software o que reduziria
ainda mais o tamanho final da placa de controle digital.

Figura 102 Circuito de sinalizao de bateria e falha de rede.

Figura 103 Foto da placa de controle digital com DSC.

180

16 - Outras Placas Desenvolvidas

16.1 - Giga para Testes do DSC


Para facilitar o desenvolvimento do software para o DSC, foi desenvolvida
uma placa de circuito impresso que interage com o DSC. O circuito
esquemtico desta placa mostrado na Figura 104. uma placa que possui
seis circuitos que fornecem uma tenso contnua de 0 a 5v para teste de
entradas analgicas, quatro sinais com chave liga-desliga para acionamento e
teste de entradas digitais e por ltimo dez circuitos para teste de sadas
digitais, cada um com um resistor e um led para visualizao da sada que est
acionada, esta placa alimentada com uma tenso contnua de 12V.

Figura 104 Giga para teste do DSC.

181

Figura 105 Foto da placa giga de testes para DSC.

Figura 106 Foto da placa giga de testes acoplada placa de controle digital.

16.2 - Conversor Buck


Durante o andamento do projeto foi desenvolvido um prottipo de um
conversor Buck com potncia de 100W para realizar testes do controle PWM
digital e fechamento da malha por tenso. Este prottipo possui uma placa de
controle analgico com CI3524 e uma placa de controle digital com o DSC
proposto para comparao de desempenho alm de uma interface com display
LCD para leitura de corrente via resistor shunt e tenso de sada. Na Figura
107 mostrado o circuito de potncia de um conversor DC/DC do tipo Buck e
na Figura 108, a foto do prottipo desenvolvido.

182

Figura 107 Conversor DC/DC tipo Buck.

Figura 108 Foto do conversor Buck LCD medindo tenso.

Figura 109 Foto placa DSC e LCD com chave de leitura V/I.

183

Figura 110 Foto banco de carga com cooler e resistor shunt.

Figura 111 Foto conversor buck e placa controle com SG3524.

16.3 - Programador e Depurador ICD2BR


Para programar e depurar o DSC, foi adquirido do fabricante LabTools uma
ferramenta identificada por ICD2BR mostrado na Figura 112, licenciada pela
Microchip que fabricante do DSC utilizado, que possibilita o trabalho com a
maioria dos PICs e DSPics.
O ICD2BR permite:

Depurar as informaes de seu cdigo fonte na prpria aplicao;

Depurar seu hardware em tempo real;

Programar um componente que utiliza o protocolo ICSP da Microchip;

Sistema e componentes requeridos para utilizar o ICD2BR:

MpLab verso 6.20 ou superior;

184

O ICD2BR utilizar o prprio sistema de fonte da USB para gravao e


depurao. Se o sistema em desenvolvimento necessitar de uma
corrente acima de 200mA, ser necessrio o desenvolvimento de uma
fonte prpria para a aplicao conforme necessidade;

Figura 112 Programador e depurador ICD2BR.

Figura 113 Placa de controle digital DSC e ICD2BR interligados.

16.4 - Interface Placa de Controle Digital/No-Break


Foi desenvolvida uma placa de interface com chaves on/off para efetuar a
ligao da placa de controle digital com o no-break, para que desta forma
pudessem ser ligados apenas os sinais desejados a cada instante, podendo
assim energizar o no-break por partes e testar os circuitos como carregador de

185

baterias (Flyback), Conversor DC/DC, Inversor e Retificador individualmente,


alm das sinalizaes e protees. A Figura 114 mostra a foto desta placa que
foi desenvolvida para facilitar os testes.

Figura 114 Placa interface chave de sinais.

Figura 115 Buck, giga de testes e controle digital interligados.

Figura 116 Placa potncia, interface chaves e controle digital interligados.

186

Figura 117 Conjunto utilizado no desenvolvimento do projeto.

Figura 118 Placa de potncia com controle analgico em protoboard.

187

17 - Resultados Obtidos (Etapa de Controle Digital)

17.1 - Introduo
Neste captulo so apresentadas as formas de onda dos principais
elementos que constituem o controle digital do no-break apresentado neste
trabalho, e tambm a sada do sistema.

17.2 - Controle do Conversor DC/DC


Na converso DC/DC a tenso de 24V elevada para 260V, ajustada no
controle por mtodos j descritos neste trabalho. Os pulsos gerados pelo DSC
(DSPic30F2010) determinam esta converso.
A Figura 119 mostra as caractersticas dos pulsos do DSC que controla as
chaves do conversor DC/DC.
So gerados pulsos para os dois conversores que trabalham de forma
alternada entre si, portanto os dois sinais so iguais s mantendo ento uma
defasagem de 180 um do outro. Eles tem a amplitude de aproximadamente
10V e esto sintonizados na freqncia de pouco mais de 15kHz. A largura dos
pulsos depende da necessidade de liberar tenso pelas chaves, deixando-as
mais ou menos tempo conduzindo.

188

Figura 119 Pulsos PWM para o conversor DC/DC.

17.3 - Controle do Inversor


Os sinais gerados para o controle do inversor possuem pulsos
complementares, como descrito anteriormente, isto ocorre para que as chaves
estejam conduzindo de forma que alternem entre si. Os pulsos ficam variando
sua largura constantemente de acordo com o princpio da modulao PWM
senoidal.
A Figura 120 demonstra claramente a modulao PWM senoidal, onde se
pode observar as variaes da largura dos pulsos de acordo com cada parte da
senide que est sendo constituda.

189

Figura 120 Pulsos PWM para o inversor.

17.4 - Onda Senoidal na Carga


A onda obtida na carga est mostrada na Figura 121. Uma onda senoidal
de boa qualidade com seus picos bem regulados com pequenas distores. A
onda est com caractersticas semelhantes as da rede eltrica, sua freqncia
est bem prxima dos 60Hz e sua amplitude tem o valor de 180V, e com isso
uma tenso eficaz de aproximadamente 127V.

190

Figura 121 Onda senoidal na carga.

17.5 - Partida Suave (Soft-Start)


A suavizao de uma onda atravs do circuito de soft-start para evitar
danos ao sistema devido a transitrios, pode ser observada neste no-break.
A Figura 122 apresenta a onda de sada na carga com seu incio sob o
efeito do soft-start, Mantendo uma elevao de amplitude de forma gradativa.

191

Figura 122 Soft-Start da onda senoidal de sada.

17.6 - Comutao do Rel (Corrente de Partida)


A Figura 123 mostra o sinal de comutao do rel e o sinal de alimentao
do sistema de controle. Desta forma pode-se relacionar um ao outro e observar
o tempo que o sinal de disparo leva para passar o zero para nvel alto.

192

Figura 123 Sinal de comutao do rel.

17.7 - Partida do DC/DC com Carga


As Figuras 124 e 125 mostram o incio de funcionamento do conversor
DC/DC com carga, sendo a Figura 124 com ao do controle PID, enquanto a
Figura 125 mostra a mesma onda sem ao deste tipo de controle.

193

Figura 124 Partida do DC/DC com controle PID.

Figura 125 Partida do DC/DC sem controle PID.

194

18 - Consideraes Finais (Etapa de Controle Digital


por Dsc)

Com o no-break funcionando de forma integrada foi demonstrado cada


forma de onda do seu controle digital e as formas de onda resultantes da ao
deste controle.
Com cada forma de onda pode se ter uma melhor idia do funcionamento
do circuito de cada bloco funcional, e assim com suas interaes, gerar cada
pulso responsvel pelo controle dos conversores.
Tambm foi observada experimentalmente a ao de todo sistema no
contexto de presena da rede eltrica e de sua ausncia, tendo o no-break um
funcionamento de qualidade em ambos os casos. E a estabilidade do sistema
no instante da transio destes dois estados.
Com a implementao do controle digital por DSC, o no-break apresentou
sua melhor performance de funcionamento, tanto com relao ao controle
PWM em malha fechada quanto a resposta suas protees e sinalizaes.
Obteve

ainda

uma

economia

na

quantidade

de

circuitos

auxiliares

desenvolvidos se comparado com controle analgico, compactando assim o


equipamento. Como a sinalizao de temperatura juntamente com o
acionamento do ventilador e bloqueio dos pulsos PWM do DC/DC e Inversor
protegendo assim o equipamento de sobreaquecimento, o circuito que monitora
a rede eltrica passou a atuar em dois nveis sendo um de sobretenso e outro
de subtenso, o circuito responsvel pelo delay de acionamento do rel,
protegendo assim o equipamento de uma corrente de partida alta, os circuitos
de gerao dos pulsos para o DC/DC que era gerado pelo CI 3525 e do
inversor que alm do circuito de comparao da onda triangular com a senoidal

195

de referncia, ainda eliminou-se os circuitos de sincronismo desses sinais, o


circuito gerador da onda triangular de alta freqncia, o circuito gerador da
onda senoidal de referncia e o circuito limitador de nvel superior e inferior,
tudo isto foi possvel devido ao uso do DSC que proporcionou ao equipamento
todas estas vantagens atravs de linhas de programao.
Toda a lgica de sinalizao do nvel de carga da bateria e alarme, no
pode ser implementada no DSC por falta de pinos I/O, que por fim acabou
ficando de maneira analgica na placa do DSC, mas pretende-se como uma
etapa futura para o projeto, utilizar um DSC com maior quantidade de pinos,
por exemplo um de 44 pinos como o DSPic30F2023, visto que o DSC utilizado
possui 28 pinos (DSPic30F2010), isto pode ser feito facilmente, acrescentandose apenas algumas linhas de programao. Para isto j est programada uma
entrada analgica que est monitorando o nvel de tenso da bateria. J est
previsto tambm na placa de controle digital por DSC um conector para
comunicao RS232 com um PC, onde pode-se desenvolver um programa
para fazer todo monitoramento do sistema a distncia via PC, sem a
necessidade de aes no equipamento.

196

Concluso do Trabalho

Neste trabalho foi apresentado todo o desenvolvimento e construo do


circuito de potncia, circuito de controle analgico e circuito de controle digital
com DSC de um no-break com sada senoidal.
Esse projeto de grande importncia tanto na rea de eletrnica de
potncia quanto na rea de qualidade de energia, pois pode-se implementar
um no-break on-line, o que significa que este circuito nunca deixar que falte
energia em sua sada fornecendo a energia da bateria quando no houver
tenso na rede. Alm de fornecer uma sada estabilizada, sem os picos de
tenso, subtenses e sobretenses a que equipamentos ligados diretamente
rede so submetidos.
Alm disso, contribuindo para a qualidade da energia na rede, este circuito
possui filtro de linha, amenizando os rudos que este circuito ir inserir na rede.
H tambm uma sincronizao entre o conversor DC/DC e o inversor,
diminuindo, assim, os rudos RFI, tambm contribuindo para a qualidade da
energia na rede.
No desenvolvimento foram realizados ajustes que s foram detectados na
prtica com o funcionamento conjunto da placa de potncia e o controle do nobreak.
Obteve-se na sada do no-break uma onda senoidal de boa qualidade com
baixa taxa de distoro, podendo ser utilizada nos diversos aparelhos que
exigem uma onda senoidal para o seu funcionamento correto.
As protees deram ao no-break um boa confiabilidade para seu uso, e
com elas as alteraes ou condies indesejadas no afetam o sistema, ou
quando em casos extremos o no-break para de funcionar para evitar danos a si

197

e carga conectada a ele. As sinalizaes atuaram de forma clara a


demonstrar as informaes necessrias ao usurio do no-break, de como e
qual a situao atual do mesmo.
A continuidade da energia na sada do no-break quando se ausenta a rede
eltrica ocorreu de forma satisfatria, no sendo sentida pela carga a falta da
rede eltrica enquanto a bateria possuir carga suficiente para mant-la em
funcionamento.
O circuito, porm, funcionou corretamente para uma carga resistiva como
foi medido e mostrado no captulo 5. Sendo assim, pode-se concluir que o
trabalho realizado para a implementao do no-break aqui descrito foi realizado
com sucesso. Tendo, cada um dos blocos aqui descritos, interagido
corretamente, juntamente com o circuito de controle analgico e digital.
Assim o trabalho foi concludo e os objetivos desejados foram alcanados.
O prottipo funciona de forma esperada com boa qualidade.
Continuidade do Trabalho
Pretende-se dar continuidade a este projeto, inicialmente realizando uma
anlise dinmica dos conversores para verificao de desempenho, na
seqncia implementar o programa do DSC em um Chip de 44 pinos como o
DsPIC30F2023, para que seja possvel o monitoramento do nvel de carga das
baterias e alarme de maneira digital.
Ser desenvolvido ainda um conversor Boost (elevador de tenso) para
que seja possvel realizar a correo ativa do fator de potncia, visto que hoje a
rede eltrica est sendo retificada e filtrada e desta forma alimentando o
barramento DC de entrada do circuito inversor de tenso, o que ocasiona um
baixo fator de potncia para o equipamento.
Aps uma pesquisa de mercado, constatou-se que atualmente existem
apenas alguns fabricantes (a maioria fora do Brasil) de no-break que possuem
uma tcnica que possibilita o paralelismo de no-breaks com tecnologia de
chaveamento em alta freqncia, ou seja, desta forma fornecer um sistema nobreak modular, com unidades de aproximadamente 1kVA (compacto),
semelhante aos sistemas de retificadores utilizados em telecomunicaes, com
a possibilidade de expanso de sua capacidade devido ao aumento de

198

consumo, sem que tenha que adquirir outro equipamento completo, visto que o
sistema modular apresenta uma unidade de superviso e controle que monitora
o sistema completo.
Com base no exposto anteriormente pretende-se desenvolver uma
tcnica para implementao de uma unidade de superviso e controle que
possibilite realizar o paralelismo de no-breaks, tornando o sistema flexvel para
expanso.
Para que o trabalho seja dado como finalizado, pretende-se desenvolver
o modelamento matemtico do controlador digital, para comprovao terica da
estratgia de controle utilizada.

199

Publicao

Como produto deste trabalho foi submetido, aceito e publicado no


Congresso Brasileiro de Eletrnica de Potncia COBEP07 evento este que
bianual e ocorreu no ms de outubro de 2007 em Blumenau-SC COBEP'07
Area 7 Inverters And Education Code: iREP:604 Complete Design For A
1.2 Kva Uninterruptable Power Supply System With A Stabilized Sine Wave
Output And A Frequency Synchronous Converter.

200

Apndice A

Conceitos Bsicos de Controle Digital e DSC/DSP [23]


Neste captulo, apresentam-se noes bsicas de conceitos e de mtodos
convencionais para projetos de sistemas de controle de tempo discreto ou
digital. Esses mtodos referem-se a sistemas de controle digital monovariveis
(SISO - single-input-single-output).
O enfoque apresentado visa ao aproveitamento das tcnicas de projeto em
sistemas de controle em tempo contnuo, como por exemplo, o mtodo do lugar
das razes e a resposta em freqncia, que representam uma base de estudos
consolidada no que se refere a aplicaes em eletrnica de potncia.
Basicamente, identificam-se duas formas de projetos, um identificado como
projeto por aproximao (emulao), e outro, como projeto discreto (direto).
No decorrer deste captulo procuram-se apresentar os aspectos gerais e as
tcnicas de projeto de controladores discretos e expe-se o objetivo da
pesquisa que trazer informaes sobre uma das tcnicas de projeto, a que
trata do projeto de controladores discretos por aproximao, motivado pela
semelhana que apresenta em relao ao projeto de controladores no domnio
de tempo contnuo, cuja metodologia tem sido bastante utilizada em controle de
conversores.
Em funo do exposto, faz-se necessrio apresentar alguns conceitos
utilizados e empregados no projeto de controle digital na rea de eletrnica de
potncia, com o fim de facilitar a busca de informaes e o entendimento do
projeto digital dos controladores.

201

Projeto por Aproximao [23]


Neste mtodo de projeto por aproximao, utilizam-se as ferramentas de
projetos convencionais do domnio de tempo contnuo e determina-se o
controlador no tempo contnuo C(s) para um determinado processo. A segunda
etapa consiste em utilizar algum mtodo de discretizao para converter o
controlador do domnio de tempo contnuo ao discreto, obtendo-se assim o
controlador discreto C(z) aproximado.
O controlador contnuo C(s) pode ser aproximado mediante a utilizao de
diversos mtodos. Os mais utilizados na prtica so: Mtodo de Euler, bilinear
(Tustin) e aproximao por zeros-plos. A estabilidade absoluta e relativa de
um sistema de controle em malha fechada, contnuo, linear e invariante no
tempo determinada pela localizao dos plos em malha fechada no plano s.
Uma vez que as variveis complexas z e s so relacionadas as por
,
a localizao dos plos e zeros, no plano z, tm correspondncias aos plos e
zeros no plano s.
Deve-se observar que a resposta dinmica de um sistema de controle
discreto depende tambm do perodo de amostragem T, isso significa que as
localizaes dos plos e dos zeros no plano z dependem do perodo de
amostragem adotado. De outra forma, trocando-se o perodo de amostragem T,
modifica-se a localizao dos plos e zeros no plano z, causando mudanas no
comportamento da resposta do sistema.

Mapeamento do Semiplano Esquerdo do Plano S no Plano Z


[23]
No projeto de sistemas de controle em tempo contnuo, as localizaes dos
plos e zeros no plano s so muito importantes na avaliao da resposta
dinmica do sistema. Similarmente, no projeto de sistemas de controle tempodiscreto, a localizao dos plos e zeros no plano z so fundamentais.
Quando a concepo de amostragem introduzida ao processo de
controle, as variveis complexas z e s so relacionadas pela seguinte equao:
(A1)

202

Isso significa que um plo no plano s pode ser localizado no plano z por
meio desta transformao. Uma vez que a varivel complexa s tem uma parte
real e uma parte imaginria , tem-se:
(A2)

logo,

(A3)

A partir da equao (A3), pode-se observar que os plos e zeros no plano


s, onde as freqncias diferem em mltiplos da freqncia de amostragem
2/T , so mapeados na mesma localizao no plano z. Isso significa que
existem infinitos valores de s para cada valor de z.
Sendo um valor negativo no semiplano esquerdo do plano s, este
semiplano corresponde a:

O eixo j no plano s corresponde a |z| =1, ou seja, o eixo imaginrio no


plano s ( = 0 ) corresponde ao crculo unitrio no plano z, e o interior do
crculo corresponde ao semiplano esquerdo no plano s.

Faixa Primria e Complementar

Nota-se que

varia de - a , pois varia de a .

Considerando-se a representao de um ponto no plano s no eixo


imaginrio j , e se este ponto se mover de

no eixo j , onde s a freqncia de amostragem, tem-se |z| =1, e o


ngulo

variando de - a no sentido anti-horrio do crculo unitrio no

plano z. Se esse ponto se movesse de

no eixo j , a isso corresponderia no plano z mesma trajetria do ponto


anterior, isto , seria mapeado sobre o crculo unitrio. Ento, se esse ponto

203

variasse no eixo j do plano s de a , traar-se-iam infinitos crculos


unitrios no plano z. A partir dessa anlise, fica claro que cada faixa de largura
s no semiplano esquerdo do plano s mapeada dentro do crculo unitrio no
plano z. Isso significa que o semiplano esquerdo do plano s pode ser dividido
em infinitas faixas peridicas, conforme mostra a Figura 126.
A faixa conhecida como primria estende-se de

as faixas complementares esto compreendidas nos seguintes intervalos:

A rea fechada compreendida por qualquer uma das faixas ser mapeada
dentro do crculo unitrio no plano z, significando que a relao entre o plano z
e o plano s no nica. Um ponto no plano z corresponde a infinitos nmeros
de pontos no plano s, embora um ponto no plano s tenha apenas um nico
ponto correspondente no plano z.
Sabendo-se que o semiplano esquerdo no plano s mapeado no interior
do crculo unitrio no plano z, o semiplano direito no plano s mapeado no
exterior do crculo unitrio no plano z. Nota-se que, se a freqncia de
amostragem for pelo menos duas vezes maior que a maior freqncia das
componentes que participam do sistema, todos os pontos no crculo unitrio do
plano z representam freqncia entre

204

Figura 126 - Diagrama mostrando a correspondncia entre a faixa primria no


plano s e o crculo unitrio no plano z.

Projeto Baseado na Resposta em Freqncia (Bode) [23]


Os conceitos da resposta em freqncia em tempo contnuo podem ser
aplicados em sistemas de controle digital. O mtodo da resposta em freqncia
tem sido utilizado, freqentemente, no projeto de compensadores pela
simplicidade do mtodo. Na aplicao desse mtodo em sistemas de tempo
discreto, muito importante haver um filtro passa-baixa antes do amostrador,
com o fim de filtrar a faixa de freqncia indesejvel. Desta forma, a resposta

205

do sistema linear invariante no tempo submetido entrada senoidal preserva


as freqncias e modifica apenas a amplitude e a fase do sinal de entrada.

Transformao Bilinear e o Plano W

Antes de utilizar o mtodo da resposta em freqncia na anlise e projeto


de sistemas em tempo-discreto, certas modificaes no plano z devem ser
efetuadas. Sabendo-se que no plano z a freqncia aparece sob a forma

aplicando-se o mtodo da resposta em freqncia no plano z, perde-se a


simplicidade dos grficos logartmicos oferecidos pelo diagrama de Bode, pois
a freqncia aparece de forma exponencial. Assim, a aplicao direta desse
mtodo no recomendada, uma vez que a transformada z mapeia a faixa
primria e as secundrias do semiplano esquerdo do plano s dentro do crculo
unitrio no plano z. Esse mtodo da resposta em freqncia, que contempla
todo o semiplano esquerdo, no pode ser aplicado ao plano z.
A soluo passa pela transformao da funo de transferncia no plano z
para o plano w, conhecida como transformada w ou bilinear, e que dada por:

(A4)

Onde T o perodo de amostragem, considerado no sistema de controle em


tempo-discreto. Convertendo-se a funo de transferncia em z em uma
funo racional de w, o mtodo da resposta em freqncia pode ser estendido
a sistemas de tempo-discreto. Resolvendo para w a expresso acima, obtmse a seguinte relao inversa:

(A5)

206

Atravs da transformada z e o plano w, a faixa primria do semiplano


esquerdo do plano s primeiramente mapeada dentro do crculo unitrio no
plano z, e posteriormente remapeada no semiplano esquerdo do plano w. Isso
mostrado na Figura 127.

Figura 127 - Diagrama mostrando o mapeamento do plano s ao z e do plano z


ao w.
Embora o semiplano esquerdo do plano w corresponda ao semiplano
esquerdo do plano s e o eixo imaginrio do plano w corresponda ao eixo
imaginrio no plano s, existem diferenas entre os dois planos. As freqncias
entre

no plano s mapeiam a regio < v < , sendo v uma freqncia fictcia, no


plano w . Embora o plano w reconstrua o plano s geometricamente, o eixo da
freqncia no plano w distorcido. A freqncia fictcia v e a freqncia atual w
so relacionadas como:

207

Procedimento de Projeto no Plano W

Os seguintes procedimentos devem ser adotados quando da utilizao do


plano w:

Obter G(z), a transformada z da planta precedida de segurador de


ordem zero (ZOH). Ento transformar G(z) em G(w) atravs da
transformada bilinear;

Substituir w=jv em G(w) e traar o diagrama de Bode para G(jv);

Ler no diagrama de Bode as constantes de erro esttico, a margem de


fase e a margem de ganho;

Determinar os plos e zeros da funo de transferncia do controlador


digital GD(z) usando as tcnicas de projeto convencionais para sistemas
de controle contnuo no tempo;

Transformar a funo de transferncia do controlador GD(w) em GD(z)


por meio da transformao bilinear;

Implementar

funo

de

transferncia

computacionais

208

atravs

de

algoritmos

Referncias Bibliogrficas

[1] MELLO, Lus Fernando Pereira. Projeto de Fontes Chaveadas - 3 edio.


Editora rica, 1987.
[2] TREVISO, Carlos Henrique Gonalves. Apostila Eletrnica de Potncia;
Londrina: Universidade Estadual de Londrina, 2005.
[3] BOLOGNINI, Ana Paula.; TREVISO, Carlos Henrique Gonalves. Voltage
Inverter With High-Frequencys Single Phase Transformer With PWM
Modulator; Joinville : VI Conferncia Internacional de Aplicaes
Industriais, 2004.
[4] TABELA AWG. Disponvel em: http://www.egeneral.com.br/TabelaAWG.htm
(acesso em setembro/2007)
[5] DATASHEET 1M0380. Disponvel em:
http://pdf1.alldatasheet.com/datasheet-pdf/view/53159/FAIRCHILD
/KA1M0380RB.html (acesso em setembro/2007)
[6] TL431. Disponvel em: http://pdf1.alldatasheet.com/datasheetpdf/view/28811/TI/TL431.html (acesso em setembro/2007)
[7] DATASHEET CAPACITORES ELETROLTICOS. Disponvel em:
http://www.farnell.com/datasheets/1041.pdf(acesso em setembro/2007)

209

[8] DATASHEET IRFP460. Disponvel em:


http://pdf1.alldatasheet.com/datasheet-pdf/view/22409/
STMICROELECTRONICS/IRFP460.html (acesso em setembro/2007)
[9] DATASHEET EE 30/15/7. Disponvel em:
http://www.thornton.com.br/Port/p_ee30_15_7.htm
(acesso em setembro/2007)
[10] DATASHEET EE 42/21/20. Disponvel em:
http://www.thornton.com.br/Port/p_ee42_21_20.htm
(acesso em setembro/2007)
[11] DATASHEET EE 65/33/26. Disponvel em:
http://www.thornton.com.br/Port/p_ee65_33_26.htm
(acesso em setembro/2007)
[12] MOTOROLA SEMICONDUCTOR, Technical Data. Pulse Width Modulation
Control Circuits. SG3525.
[13] HOLMES, D. Grahame; LIPO, Thomas A.; 2003. Pulse Width Modulation
for Power Converters. USA; John Wiley & Sons.
[14] PERIN, Arnaldo J; 2000. Curso - Modulao PWM. Florianpolis.
[15] SEDRA, Adel S.; SMITH, Kenneth C. 2000. Microeletrnica. Quarta Edio
[16] HARRIS SEMICONDUCTOR, App note, No. AN9525.2, March 1996.
[17] FAIRCHILD SEMICONDUCTOR. Data Sheet TL431
[18] BARBI, Ivo; 2001. Projetos de Fontes Chaveadas. Florianpolis; Edio do
autor.
[19] BARBI, Ivo; MARTINS, Denizar Cruz; 2001. Teoria Fundamental da
Eletrnica de Potncia. Florianpolis; Edio dos Autores.
[20] CHOMA, Everton Trabalho de concluso de curso No-Break 1,2Kva,
Senoidal, Funcionando em Malha Fechada: Circuito de Controle
Analgico. Londrina, 2006.

210

[21] FIORENTINO,Leandro Motta Trabalho de concluso de curso NoBreak 1,2Kva, Senoidal, Funcionando em Malha Fechada: Estgios de
potncia. Londrina, 2006.
[22] SILVA, Fbio Medeiro Dissertao de mestrado Seguidor de mxima
potncia fotovoltaica utilizando conversor buck sncrono com controle
digital por valores mdios de corrente. Londrina, 2005.
[23] AHMAD MUSSA, Samir Tese de doutorado Controle de um conversor
ca-cc trifsico pwm de trs nveis com fator De potncia unitrio
utilizando dsp. Florianpolis, 2003.
[24] OLIVEIRA, Andr Schneider de; ANDRADE, Fernando Souza de
Sistemas embarcados, hardware e firmware na prtica 2006, 1
edio, Editora rica.
[25] www.microchip.com, datasheet e documentao DSPic30F2010.
(acesso em setembro/2007)
[26] TREVISO, Carlos H. G.; FREITAS, L. C.; VIEIRA Jr., Joo B.;"Retificador
de 6kW, Fator de Potncia Unitrio, Trifsico, Comutao nodissipativa na Converso CC/CC e Controle Sincronizado em
Freqncia. Tese de Doutorado, Uberlndia MG Brazil, March,
1999.
[27] MARTINS, Denizar C., "Inversor Ponte Completa ZVS PWM com
Grampeamento Ativo utilizando a Energia de Recuperao Reversa D,
CBA 2002 Natal RN Brasil.
[28] TREVISO, Carlos H. G.; SCHIAVON, Gilson Jr.; Complete design for a 1.2
kva uninterruptable power supply system with a stabilized sine wave
output and a frequency synchronous converter. Artigo, COBEP07.
2007.

211

[29] SILVA, F. M., CAMELO, N. J., SAAVEDRA, O. R., SANTOS, W. M..


Iluminao Baseada em Sistemas Fotovoltaicos: Anlise de
Desempenho sob Condio de Tenso No-Senoidal. 5th LatinAmerican Congress: Electricity Generation and Transmission; So
Pedro-SP; novembro; 2003.
[30] TOMASSELI, Luis C. Controle de um pr-regulador com alto fator de
Potncia utilizando o controlador DSP TMS320F243. Florianpolis,
2001. Dissertao (Mestrado em Engenharia Eltrica) Centro
Tecnolgico, Universidade Federal de Santa Catarina.
[31] OGATA, Katsuhito, Discrete-time control system. 2 ed. New Jersey,
Prentice-Hall, Inc., 1995.
[32] OGATA, Katsuhito, Engenharia de Controle Moderno. 4 edio.
Prentice-Hall, Inc., 2003.

212

ANEXOS

213

Anexo 1 Diagrama completo da placa de potncia.

214

Anexo 2 Diagrama completo da placa de controle analgico.

215

Anexo 3 Diagrama completo da placa de controle digital por DSC.

216

Você também pode gostar