Você está na página 1de 140

Laboratrio de Sistemas Digitais I

Apostila 2013.1

Professores

Renato Lopes Leonardo Aguayo Wellington Amaral

UnB - FGA Abril de 2013

Contedo
I Apresentao e Regras Gerais
1 Uso do Laboratrio e Normas de Documentao 1.1 Consideraes Gerais . . . . . . . . . . . . . . . . . 1.2 Normas para Elaborao de Pr-relatrios . . . . 1.3 Normas para Elaborao de Relatrios . . . . . . 1.4 Regras de Uso do Laboratrio . . . . . . . . . . . . 2 Familiarizao com a Bancada 2.1 Objetivos . . . . . . . . . . . . . . . . . . 2.2 Parte Experimental . . . . . . . . . . . . 2.3 Equipamentos . . . . . . . . . . . . . . . 2.4 Material para Montagem de Circuitos 2.5 Chaves (ou switches) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5
7 7 8 9 13 15 15 15 16 18 21

II Experimentos
1 Caracterizao de Portas Lgicas 1.1 Objetivos . . . . . . . . . . . . . . . . . . 1.2 Portas Lgicas e Circuitos Integrados 1.3 Pr-Relatrio . . . . . . . . . . . . . . . . 1.4 Roteiro Experimental . . . . . . . . . . 2 Circuitos Lgicos Combinacionais 2.1 Objetivos . . . . . . . . . . . . . . . 2.2 Circuitos Lgicos Combinacionais 2.3 Displays . . . . . . . . . . . . . . . . 2.4 Pr-Relatrio . . . . . . . . . . . . . 2.5 Roteiro Experimental . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

23
25 25 25 37 39 45 45 45 47 50 53 55 55 55 58 60 63

3 Circuitos Somadores e Subtratores 3.1 Objetivos . . . . . . . . . . . . . . . . 3.2 Circuitos Aritmticos . . . . . . . . . 3.3 Pr-Relatrio . . . . . . . . . . . . . . 3.4 Roteiro Experimental . . . . . . . .

4 Circuitos Codicadores e Decodicadores 1

4.1 4.2 4.3 4.4

Objetivos . . . . . . . . . . . . . . Circuitos conversores de cdigos Pr-Relatrio . . . . . . . . . . . . Roteiro Experimental . . . . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . .

63 63 65 66 69 69 69 73 75 77 77 77 80 81 83 83 83 87 88

5 Circuitos Multiplexadores e Demultiplexadores 5.1 Objetivos . . . . . . . . . . . . . . . . . . . . . . . . . 5.2 Circuitos Multiplexadores . . . . . . . . . . . . . . . 5.3 Pr-Relatrio . . . . . . . . . . . . . . . . . . . . . . . 5.4 Roteiro Experimental . . . . . . . . . . . . . . . . . 6 Flip-Flops 6.1 Objetivos . . . . . . . . . . . . . 6.2 Circuitos Lgicos Seqenciais 6.3 Pr-Relatrio . . . . . . . . . . . 6.4 Roteiro Experimental . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

7 Circuitos Contadores Sncronos e Assncronos 7.1 Objetivos . . . . . . . . . . . . . . . . . . . . . . . . 7.2 Circuitos Contadores . . . . . . . . . . . . . . . . . 7.3 Pr-Relatrio . . . . . . . . . . . . . . . . . . . . . . 7.4 Roteiro Experimental . . . . . . . . . . . . . . . .

IIIProjetos Finais
I Regras Gerais I.1 Introduo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . I.2 Sobre os Temas de Projeto . . . . . . . . . . . . . . . . . . . . . . . . . . . I.3 Documentos Esperados . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

91
93 93 94 95 97 97 97 98 98

1 ULA 1.1 Introduo . . . . . . . . . . . 1.2 Projeto Bsico . . . . . . . . . 1.3 Exemplos de Funcionamento 1.4 Desaos Adicionais . . . . . .

2 Gerador de Onda Quadrada Programvel 101 2.1 Projeto Bsico . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 2.2 Desaos Adicionais . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 3 Testador de CIs 103 3.1 Projeto Bsico . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 3.2 Desaos Adicionais . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104 4 Controle de Motor de Passo 105 4.1 Introduo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 2

CONTEDO 4.2 Projeto Bsico . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106 4.3 Desao Adicional . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 5 Freqencmetro 5.1 Introduo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.2 Projeto Bsico . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.3 Desao Adicional . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109 109 110 111

6 Vericador de Senhas 113 6.1 Introduo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113 6.2 Projeto Bsico . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113 6.3 Desao Adicional . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115 Bibliograa 117

IV Anexos
A Pinagem de CIs 74xxx

119
121

B Depurando Circuitos 135 B.1 Consideraes Gerais . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135 B.2 O Processo de Depurao . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

Parte I Apresentao e Regras Gerais

U SO

DO

L ABORATRIO E N ORMAS DE D OCUMENTAO

1.1 Consideraes Gerais


O laboratrio um complemento essencial das aulas tericas. Assim, os experimentos estaro sincronizados, na medida do possvel, com os tpicos vistos previamente em sala de aula. Os roteiros dos experimentos estaro disponveis na plataforma Moodle uma semana antes da realizao da prtica, com o intuito de permitir a concluso das tarefas solicitadas. Os experimentos sero realizados por uma dupla que permanecer a mesma durante todo o semestre. Caso haja desistncia ou trancamento da disciplina por um aluno da dupla, haver uma reordenao de dupla (caso dois alunos se encontrem na mesma situao), ou o aluno continuar a realizar os experimentos s. No ser permitida a formao de trios. Para a realizao dos experimentos, os alunos devero apresentar um pr-relatrio correspondente prtica que ser realizada. No incio de uma aula tpica, os alunos entregaro ao professor dois documentos: (I) o pr-relatrio correspondente ao experimento do dia e (II) o relatrio do experimento anterior.

A dupla que no apresentar o pr-relatrio - ou apresent-lo incompleto - no poder realizar o experimento, obtendo consequentemente nota zero na pratica em questo. Neste caso no haver reposio do experimento 7

AMBIENTAO

1. U SO DO L ABORATRIO E N ORMAS DE D OCUMENTAO

1.1.1 Localizao e Disponibilidade


O laboratrio usado para os experimentos est localizado no prdio da UED, no piso trreo. O laboratrio pode ser utilizado pelos alunos em outros horrios que no sejam o da aula, desde que: No haja outra aula no horrio pretendido; Seja preenchido o formulrio de agendamento com o tcnico; Tenha a presena de um monitor da disciplina para acompanhar as atividades. Sem horrio agendado o aluno no poder utilizar as dependncias do laboratrio A dupla ter disposio todo material necessrio para a realizao dos experimentos, incluindo a protoboard e os CIs.

1.2 Normas para Elaborao de Pr-relatrios


O tempo de aula em laboratrio um tempo que no deve ser desperdiado. a chance que o aluno tem de enfrentar diculdades inesperadas, aprimorar as habilidades de depurao e uso do raciocnio lgico para resolver problemas na presena do professor. de grande importncia, portanto, o planejamento prvio e a utilizao de uma documentao adequada. O pr-relatrio um documento direcionado para a execuo do experimento. Em geral, no pr-relatrio o aluno dever realizar simulaes dos circuitos presentes no experimento e responder questes referentes pratica a ser realizada. O pr-relatrio por dupla e de formato livre. Entretanto, deve ser entregue grampeado e conter: 1. Cabealho com identicao completa do documento, contendo: Nome e cdigo da disciplina; Nmero e ttulo do experimento; Turma de laboratrio; Nome, assinatura e matrcula do autor; Local e data.

2. Respostas s perguntas do roteiro, devidamente identicadas; 3. Tabelas e diagramas devidamente identicados, incluindo as tabelas de conexo; 4. Diagramas esquemticos dos circuitos simulados, seguindo as mesmas normas dos especicados para o relatrio. 8

1.3. Normas para Elaborao de Relatrios

1.3

Normas para Elaborao de Relatrios

As normas a seguir so vlidas para relatrios, sejam eles escritos mo ou em formato digital.

1.3.1 Estrutura do Relatrio


O relatrio da dupla que realizou o experimento. O mesmo deve ser entregue grampeado, com todas as pginas numeradas. Figuras, grcos e tabelas devem ter ttulo e numerao. Exemplo: Figura 2.1 - Diagrama lgico do circuito somador. O relatrio deve ter a seguinte estrutura: 1. Capa, contendo: Nome e cdigo da disciplina; Nmero e ttulo do experimento; Turma de laboratrio; Nome, assinatura e matrcula dos autores; Local e data. 2. Sumrio, apresentando as partes constituintes do relatrio com as respectivas paginaes. 3. Introduo, indicando a delimitao do tema, apresentando a justicativa descrevendo o propsito do relatrio. 4. Objetivos Objetivo geral, mostrando a nalidade do trabalho e apresentando uma viso geral do tema em estudo. Objetivos especcos, apresentando detalhadamente o que se espera obter do experimento. 5. Parte Experimental , contendo no mnimo os seguintes itens: Materiais utilizados (componentes, equipamentos e bancada usada); Procedimento experimental: descrever a(s) metodologia(s) empregada(s) durante a realizao a prtica. Exemplo: Realizou-se o procedimento de acordo com o item 3.2.1 do roteiro, com as seguintes etapas: Montou-se o circuito da Prancha 01 na protoboard, utilizando-se a lista de conexes das Tabelas 3 a 5. Como no se testaram as conexes durante o processo de montagem, nada funcionou. Percebeu-se que a fonte DC estava desligada. Em seguida, ligou-se a fonte, porm no vericou-se o nvel de tenso na sada do equipamento. Como a mesma se encontrava em 30 V e j estava conectada protoboard, isto causou a queima de todos os CIs. 9

1. U SO DO L ABORATRIO E N ORMAS DE D OCUMENTAO

Aps trocar todos os CIs e ajustar a tenso da fonte em 5 V, o circuito passou a funcionar, porm de maneira incorreta, pois o LED1 sempre se encontrava aceso independentemente do posicionamento das chaves CH1 e CH2. Detectou-se que um dos CIs, o 74LS04, no se encontrava aterrado. Aps realizar a conexo do pino 07 com a linha de terra na protoboard, o circuito passou a funcionar corretamente. Resultados obtidos: fazer uma descrio detalhada dos resultados encontrados em forma de guras, grcos e tabelas. 6. Discusso sobre os resultados encontrados, comentando detalhadamente as medies realizadas e dando a devida interpretao destas, informando se os objetivos da experimento foram alcanados. Esta uma das partes mais importantes do relatrio: aqui, h oportunidade para expressar os conhecimentos adquiridos na prtica e fazer a interrelao com os fundamentos tericos. 7. Concluses, mostrando os xitos e eventuais problemas encontrados na realizao do experimento, indicando as limitaes, apresentando recomendaes e/ou sugestes. 8. Referencias Bibliogrcas, relacionadas e citadas de acordo com as normas da ABNT. 9. Tabelas de Conexo. Todos os dispositivos usados devem ter suas posies na protoboard anotadas, assim como as conexes realizadas. Para agilizar o trabalho de montagem, sugerimos fortemente que os alunos criem previamente tabelas com um descritivo das conexes que sero realizadas. Para circuitos simples, a prtica pode parecer desnecessria; porm, a complexidade dos circuitos aumenta ao longo do curso e a organizao e presteza durante a montagem tornam-se essenciais. Por exemplo, suponha que o circuito montado em sala seja o da Figura 1.1. Neste circuito hipottico, h trs componentes (74LS00, 74LS04 e LED1), portanto h trs tabelas de conexo. Cada uma tem tantas linhas quanto o nmero de pinos do componente. Um exemplo est na Tabela 1.1. Deve-se observar que as tabelas de conexo devem estar coerentes com os diagramas esquemticos dos circuitos. 10. Diagramas Esquemticos. Todos os diagramas devem ser inseridos ao nal do relatrio em pginas separadas do texto, indicando a identicao do circuito, autor, revisor, verso e datas relevantes. Para o tamanho dos diagramas, h apenas duas opes: dois diagramas por pgina (orientao retrato, para circuitos mais simples) ou um nico diagrama por pgina (orientao paisagem, para circuitos mais complexos). 10

1.3. Normas para Elaborao de Relatrios

Os diagramas devem conter a pinagem e identicao de todos os componentes, como mostrado na Figura 1.2. 74LS00 - Posio A1 De pino ... P03 ... P07 ... P14 Para ... B2.74LS04.P01 ... GND ... Vcc

Tabela 1.1: Exemplo de tabela de conexo.

Figura 1.1: Exemplo de montagem na protoboard.

Cabe aqui listar alguns princpios orientadores para desenhar diagramas esquemticos: 11

1. U SO DO L ABORATRIO E N ORMAS DE D OCUMENTAO

VCC CH1 GND VCC CH2 GND VCC 1

74LS04 74LS86 3 2 74LS32 1 3 2 5 4 1 2 1

74LS00 3 2 LED 1 R1 = 100K

VCC

74LS00 6 LED 2 R2 = 100K

74LS00 9 8 10 LED 3 R3 = 100K

CH3 GND VCC CH4 GND 4 6 5 5

PULSO
74LS86 4

74LS32 6 12 11 13 74LS00 LED 4 R4 = 100K

UnB-FGA LAB SD1

P RANCHA 01 Circuito acendedor de LEDs

Verso 1.0

Data 31/01/2010

Elaborado por Revisado por

Fulano Beltrano

Figura 1.2: Exemplo de diagrama esquemtico. O esquemtico no deve ser ambguo. Assim, todos os pinos, valores de componentes, polaridades, etc., devem ser explicitados para evitar confuso. As diferentes funes desempenhadas pelo circuito devem se localizar em regies distintas. Use este princpio sempre, mesmo que ao custo de deixar algumas reas em branco para separar visualmente os grupos funcionais. Se necessrio, divida o seu projeto em mais de um esquemtico. Use uma seta (por exemplo ) para indicar sinais que vo de um diagrama a outro. Use um ponto para indicar conexes entre os. Sempre que possvel, alinhe os componentes na horizontal ou vertical. Coloque a pinagem dos CIs sempre do lado de fora do smbolo.

I MPORTANTE
No ser admitido plgio de qualquer espcie. Caso detectado, ser punido com nota zero. O prazo de entrega do relatrio de uma semana a partir da data da realizao do experimento.

12

1.4. Regras de Uso do Laboratrio

1.4

Regras de Uso do Laboratrio

As regras a seguir tm como objetivo minimizar o risco de acidentes. Leve-as a srio. A circulao de uma corrente eltrica de 100mA pelo seu trax pode causar desbrilao e ser fatal.

1.4.1 Ao entrar em sala


1. Guarde sua mochila no armrio. Leve para a bancada apenas o necessrio para realizar o experimento. Seu notebook, tablet ou smartphone no so essenciais. 2. No use saia, bermuda ou calados abertos, principalmente chinelos. V para o laboratrio usando calados com sola de material isolante.

1.4.2 Durante o experimento


1. expressamente proibido o consumo de comida e bebida. Em hiptese alguma deixe estes itens sobre a bancada. 2. No use anis, pulseiras e brincos com pingentes ou argolas. 3. Caso tenha cabelos compridos, mantenha-os amarrados. 4. Evite o uso de lentes de contato. 5. Mantenha as mos sempre limpas e secas. 6. Antes de energizar o circuito, verique se as conexes entre os elementos no contm curtos-circuitos. 7. Evite ao mximo manusear circuitos energizados. 8. Desconecte a fonte de tenso ao realizar quaisquer modicaes nos circuitos. 9. Caso sinta cheiro de queimado ou se perceber qualquer sinal de fumaa, desligue imediatamente os interruptores da bancada. 10. No obstrua os interruptores com qualquer tipo de objeto. O acesso aos mesmos deve ser rpido em caso de emergncia.

Os alunos no devem pedir ajuda ou tirar dvidas sobre a montagem do experimento com os tcnicos do laboratrio 13

1. U SO DO L ABORATRIO E N ORMAS DE D OCUMENTAO

1.4.3 Ao terminar o experimento


1. Desligue todos os equipamentos usados. 2. Desligue os interruptores da bancada. 3. Desmonte o circuito e devolva os componentes, caso use a protoboard do laboratrio. 4. Deixe a bancada perfeitamente limpa e organizada. Os alunos que deixarem as bancadas desorganizadas perdero pontos na nota nal do experimento

14

MBIENTAO

F AMILIARIZAO
2.1 Objetivos

COM A

B ANCADA

Neste experimento, apresentam-se os conceitos bsicos sobre material e equipamentos de bancada do Laboratrio de Sistemas Digitais I.

2.2 Parte Experimental


Havendo qualquer dvida ao realizar qualquer procedimento, chame o professor ou o monitor. Esteja seguro das aes que for realizar.

2.2.1 A bancada
Como regra geral, uma bancada comporta apenas dois alunos. Apenas em casos excepcionais (por exemplo, falha de algum equipamento essencial) ser permitido que trs alunos ocupem o mesmo espao. Cada bancada possui os seguintes equipamentos, que devem estar conectados s tomadas: 1 Osciloscpio BK Precision modelo 2530; 1 Gerador de funes iCEL modelo GV-2002; 1 Fonte de tenso Minipa modelo MPL-1303; 1 Fonte de tenso Minipa modelo MPL-3305M. Identique cada um destes equipamentos, assim como os alicates, extrator de CIs e os repositrios para os. A bancada possui dois interruptores, um referente tenso de 110 V AC e outro para a de 220 V AC . Ao ligar cada interruptor, uma luz de aviso acender, indicando que a linha de tenso correspondente est disponvel para energizar os equipamentos. 15

2. FAMILIARIZAO COM A B ANCADA

Atividade 1 Ligue e desligue os interruptores de sua bancada. Informe o professor caso a luz de aviso no acenda. Ligue novamente os interruptores e, em seguida, todos os equipamentos da bancada. Caso encontre algum equipamento desconectado ou sem indicao de energizao, avise o professor ou o monitor.

2.3

Equipamentos
Em caso de dvidas, pergunte ao professor ou ao monitor.

2.3.1 Multmetro
O multmetro ser o seu principal equipamento para inspecionar o funcionamento do circuito. Manuseie-o corretamente e com cuidado. Como qualquer equipamento de laboratrio, deve ser operado corretamente sob risco de danic-lo ou mesmo inutiliz-lo.

Figura 2.1: Multmetro digital

Atividade 2 Ligue o multmetro. Em sequncia, de acordo com as instrues do professor, selecione as diversas funes do equipamento (medida de tenso DC, AC, resistncia, teste de LED, medida de corrente). Desligue o multmetro. 16

2.3. Equipamentos

2.3.2 Fontes de tenso DC


Este o equipamento que fornecer energia para os circuitos projetados. As fontes disponveis no laboratrio tm pelo menos dois terminais com cores distintas: um preto, usado para o n terra; e outro vermelho, usado para a tenso de alimentao dos CIs ou VCC .

Figura 2.2: Fontes da bancada: MPL-1303 e MPL-3305M.

Atividade 3 Ligue a fonte de tenso MPL-1303. Ajuste o nvel de tenso para 5.0 VDC . Usando o multmetro, selecione a funo adequada e mea o valor entre os terminais preto e vermelho. Repita o procedimento para a fonte de tenso MLP-3305M.

2.3.3 Gerador de Funes


Este equipamento tem como funo fornecer tenses variantes no tempo, de acordo com uma funo pr-denida. Notem a terminao BNC.

Figura 2.3: Gerador de funes GV-2002.

17

2. FAMILIARIZAO COM A B ANCADA

2.3.4 Osciloscpio
Este equipamento permite visualizar formas de onda de tenso em um circuito. Para entender seu funcionamento e aprender como conect-lo, realize a seguinte atividade.

Figura 2.4: Osciloscpio BK 2530.

Atividade 4 Siga as orientaes do professor para conectar a ponta de prova do osciloscpio no cabo BNC-jacar. Em seguida, visualize as formas de onda quadrada, senoidal e triangular na frequncia de 10 KHz e amplitude de 5VP P (pico a pico). Repita para 100 KHz e 3VP P .

2.4
2.4.1

Material para Montagem de Circuitos


Protoboard ou matriz de contato

A protoboard nada mais do que um pequeno painel que permite realizar conexes eltricas entre componentes por intermdio de os (tipicamente 22 AWG a 24 AWG). Recomendamos que cada dupla adquira uma protoboard, de modo a aproveitar melhor o tempo de aula. A protoboard composta por faixas de pontos de conexo, existindo tipicamente dois tipos de faixas. O primeiro chamado faixa tipo soquete. Neste tipo de soquete, grupos de 5 furos so conectados eletricamente por contatos internos. Entre dois 18

2.4. Material para Montagem de Circuitos

Figura 2.5: Conexes na protoboard. grupos de 5 furos h um espaamento maior destinado a permitir a insero de CIs na protoboard, como mostrado na Figure 2.5. O segundo tipo de faixa chamada de faixa tipo alimentao. Neste caso, grupos maiores de pinos esto conectados eletricamente, permitindo facilitar a distribuio de sinais comuns, tais como tenso de alimentao e terra. Atividade 5 Identique as faixas da protoboard em sua bancada. Com o multmetro na funo de ohmmetro, verique o isolamento (ou curto) nos furos das faixas de soquete e de alimentao. Use dois jumpers para facilitar o processo.

2.4.2 Cabos e os
Os os usados para conexo na protoboard esto disponveis nas caixas das bancadas. Antes de utiliz-los, verique se: Esto desencapados nas extremidades. As pontas devem ser de comprimento tal que, ao encaixar o o na protoboard, a ponta desencapada no que exposta. Os os no esto quebrados. Em caso de suspeita, faa o teste com o multmetro. Ao utilizar os os, no use os longos para conexes entre componentes que esto prximos. Use o bom senso. No deixe os os muito esticados, pois a tendncia que eles escapem nos furos. Caso adquire em uma loja, recomenda-se comprar jumpers (do tipo macho) de vrios tamanhos. Os cabos so usados para conectar os equipamentos de bancada entre si ou protoboard. Use cabos vermelhos para o terminal positivo da fonte de tenso e os pretos para o terminal negativo ou terra. Havendo cabos verdes, use-os especicamente para conexes no terminal terra (GND) dos equipamento. 19

2. FAMILIARIZAO COM A B ANCADA

O multmetro e o osciloscpio possuem cabos de conexo especiais chamados de pontas de prova. Em particular, as pontas de prova de osciloscpio so parte essencial do equipamento e devem ser manuseadas com cuidado. As terminaes dos cabos disponveis so de 4 tipos: Banana - para conexes s fontes de alimentao ou protoboard; Jacar - para conexes s fontes de alimentao ou protoboard; BNC - para conexes ao osciloscpio ou ao gerador de funes; Pin - para conexes protoboard. H cabos com terminaes diferentes: BNC-banana, banana-pin, banana-jacar, etc. Use o adequado para cada caso. Quando uma das terminaes BNC e a outra no, sempre haver uma terminao com terminao preta. A ponta de cor preta deve estar conectada ao terra do circuito. Atividade 6 Identique os cabos disponveis em sua bancada. Sem ligar qualquer equipamento, conecte protoboard uma das fontes de alimentao. Verique se h mais de uma opo de terminao de cabo para tanto.

2.4.3 Resistores
Neste laboratrio, os resistores sero utilizados exclusivamente com um nico propsito: limitar o nvel de corrente nos diodos LED. Em sua bancada, deve haver uma tabela de cdigo de cores para resistores. Atividade 7 Selecione dois resistores e tome os valores usando o cdigo de cores. Conra o valor lido com a medio no multmetro. Em seguida, conecte-os nas associaes srie e em paralelo na protoboard. Mea a resistncia de cada associao com o multmetro.

2.4.4 Diodos (LEDs)


Os LEDs utilizados no laboratrio tm como funo representar visualmente um nvel lgico. O LED um dispositivo com dois terminais, denominados anodo (A) e catodo (K). Para que o LED conduza corrente (e neste caso emita luz), a tenso entre os terminais deve ser tal que o anodo esteja em uma tenso positiva VT com relao ao catodo, em torno de 2 V. Fisicamente, o terminal K pode ser identicado por um pequeno chanfro no encapsulamento. Outra forma de identicar a polaridade do LED pelo tamanho do terminal A, que tem comprimento maior. 20

2.5. Chaves (ou switches)

i AK

LED A
i AK

K
V AK V AK

VT

Figura 2.6: Diodo - representao e curva caracterstica simplicada. Atividade 8 Teste o funcionamento do LED com o multmetro.

2.4.5 CIs
Em aulas posteriores detalharemos as caractersticas dos CIs usados neste curso. Por hora, basta saber que, na protoboard, a congurao das faixas do tipo soquete e o espaamento dos furos permite a insero exata dos CIs. Atividade 9 Insira um CI na protoboard. Verique o encaixe e em seguida retire-o com o extrator.

2.5 Chaves (ou switches)


As chaves utilizadas so de trs terminais, que funcionam como seletores. No terminal central, a tenso correspondente a selecionada pela posio do boto.

VCC

Para o circuito GND

Figura 2.7: Chave de trs pinos.

21

2. FAMILIARIZAO COM A B ANCADA

Atividade 10 Ajuste a fonte de tenso para 5VDC . Conrme a medio com o multmetro. Insira uma chave na protoboard, de modo que seus trs pinos no estejam em curto-circuito. Desligue a fonte e a conecte protoboard. Conecte um dos terminais externos da chave tenso de 5V e o outro terminal externo tenso de 0V. Conecte em srie ao terminal central um resistor de 1K e um LED. Ligue a fonte. Com o multmetro, mea a tenso no terminal central, com relao ao terra. Verique se o LED acendeu. Mea a tenso no LED e no resistor. Verique a Lei das Tenses de Kirchoff. Atividade 11 (havendo tempo) Ajuste a fonte de tenso para 2.0 VDC . Ajuste o gerador de funes para onda senoidal, com 2.0 VP P , frequncia de aproximadamente 1 Hz. Monte o circuito da gura abaixo, com a fonte e o gerador desligados.

2.0 VDC 4.0 VP P

1.0 K

LED

Ligue a fonte. Ligue o gerador. Observe no osciloscpio a forma de onda no LED.

22

Parte II Experimentos

23

C ARACTERIZAO

DE

P ORTAS L GICAS

1.1 Objetivos
Apresentar circuitos integrados das famlias TTL e CMOS e realizar estudos para determinar suas caractersticas bsicas (estticas e dinmicas), como por exemplo, a curva de transferncia de tenso, tempos de subida, descida e atrasos de propagao.

1.2 Portas Lgicas e Circuitos Integrados


1.2.1 Introduo
A tecnologia de integrao de componentes eletrnicos em uma nica pastilha de silcio permite a fabricao dos chamados circuitos integrados ou CIs. CIs digitais so simplesmente uma coleo de componentes discretos (tais como resistores, capacitores, diodos e transistores) fabricados em um substrato de material semicondutor, conhecido como pastilha ou chip. No contexto de sistemas digitais, o interesse principal a implementao, na forma de circuitos, das funes booleanas. Para implementar funes booleanas de complexidade simples ou moderada, dispomos de CIs que realizam funes elementares, tais como AND, NAND, OR, NOR, NOT e XOR. Estes CIs podem ser interpretados como blocos funcionais, possibilitando ao projetista trabalhar com o nvel de abstrao correspondente ao chamado de portas lgicas. Como exemplo, na Figura 1.1 apresenta-se a implementao de uma porta inversora (NOT) usando componentes discretos. Nota-se que a simples montagem deste circuito requer 4 resistores, 4 transistores e 2 diodos - ou 10 elementos de circuito. Porm, quando utilizamos um CI do 25

EXPERIMENTO

1. C ARACTERIZAO DE P ORTAS L GICAS


Vcc (14) R 1 3.6 K R 2 1.6 K R 4 115

Q4 Q2

Q1

IN (1)
D1 R3 1 K Q3

D2

OUT (2)

GND (7)

Figura 1.1: Implementao de uma porta inversora usando lgica TTL. tipo 7404 dispomos de seis portas NOT e tipicamente no precisamos nos preocupar em como o CI implementou a funo NOT1 . O que pode nos interessar se a implementao realizada com este CI satisfaz nossos critrios de projeto, tais como frequncia de operao e nveis de tenso dos sinais de entradas e sada.

1.2.2 Classicao de CIs


Uma das maneiras de se classicar CIs pela quantidade de portas lgicas implementadas no substrato semicondutor, como mostrado na Tabela 1.1. Esta uma medida da complexidade funcional e de fabricao. Como exemplo, as funes booleanas elementares AND, OR, NOT, NAND e NOR encontram-se implementadas em CIs de pequena escala de integrao, ou circuitos do tipo SSI. Tabela 1.1: Classicao de CIs pelo nmero de portas lgicas. S IGLA SSI MSI LSI VLSI ULSI S IGNIFICADO Small-scale Integration Medium-scale Integration Large-scale Integration Large-scale Integration Ultra large-scale Integration N MERO DE PORTAS LGICAS Menor que 12 12 a 99 100 a 9.999 10.000 a 99.999 100.000 ou mais

Uma segunda maneira de se classicar CIs em analgicos, digitais e mistos (mixed signal). Na categoria digital, encontram-se: as portas lgicas, os ip-ops, os multiplexadores, os microprocessadores, os microcontroladores, os DSPs (digital
1 Porm,

quando formos projetar o CI claro que este ponto fundamental.

26

1.2. Portas Lgicas e Circuitos Integrados

signal processors), dentre outros. Esses CIs contm circuitos formados por resistores, diodos e transitores miniaturizados, diferenciando-se dos circuitos integrados ditos analgicos pelo fato de que nos digitais os transistores s possuem dois modos estveis de operao (corte e saturao), cando muito pouco tempo nas regies de transio. Portanto, idealmente, dito que os transistores operam como chaves. Os CIs analgicos so tipicamente sensores, circuitos de potncia, amplicadores operacionais (Amp-Ops), misturadores (mixers) e ltros. Os mistos tm como representante clssico os conversores de sinal A/D (analgico-para-digital) e D/A (digital-para-analgico). A terceira maneira comum de classicao, tambm chamada de famlia, referese ao tipo de tecnologia de fabricao do CI. Alguns exemplos de famlias so: RTL (Resistor Transistor Logic) RCTL (Resistor Capacitor Transistor Logic) DTL (Diode Transistor Logic) TTL (Transistor Logic) CMOS (Complementary Metal Oxid Semiconductor) ECL (Emitter Coupled Logic) Para cada famlia, h subfamlias com caractersticas especcas. Em particular, apresentamos a seguir as subfamlias TTL e CMOS. 1.2.2.1 Famlia TTL

A famlia TTL dividida em dois grandes grupos: o primeiro, voltado para a verso comercial (com prexo de cdigo 74) e o segundo, para a verso militar (prexo 54). Os avanos nas tcnicas de fabricao levaram a uma subdiviso dos CIs TTL em subfamlias, tais como: Tabela 1.2: Subfamlias TTL. S UBFAMLIA P REFIXO 54/74 54L/74L 54S/74S 54AS/74AS 54LS/74LS 54ALS/74ALS 54F/74F O BSERVAO Srie padro Baixa potncia Rpido Rpido Baixa potncia Baixa Potncia Rpido

Standard Low Power Schottky Advanced Schottky Low Power Schottky Advanced Low Power Schottky Fast

27

1. C ARACTERIZAO DE P ORTAS L GICAS

1.2.2.2

Famlia CMOS

Atualmente, a famlia de CIs mais usada a CMOS, por ter baixo consumo de potncia e boa imunidade a rudo. Assim como a famlia TTL, dividida em subfamlias: Notem que h CIs CMOS compatveis com CIs TTL (mesma pinagem e Tabela 1.3: Subfamlias CMOS. S UBFAMLIA CMOS P REFIXO 74C 74HC 74HCT 74AC 74ACT 74AHC 74VHC 74VHCT 74LVC 74ALVC 74AUP FCT O BSERVAO Srie padro Rpido Compatvel com TTL Rpido Compatvel com TTL Rpido Rpido Compatvel com TTL Baixa tenso de alimentao Baixa tenso de alimentao Aplicaes portteis Rpido

High-speed CMOS
High-speed, TTL compatible Advanced CMOS Advanced CMOS, TTL compatible Advanced High-speed CMOS Very High-speed CMOS Very High-speed CMOS TTL compatible Low-voltage CMOS

Advanced Low-voltage CMOS


Advanced Ultra-low Power CMOS Fast CMOS

mesma funo lgica), permitindo a interconexo entre as duas tecnologias.

1.2.3 Tipos de Encapsulamento de CIs


A pastilha de silcio que contm o CI pode ser encapsulada (ou empacotada) de diversas formas, de acordo com as necessidades mais comuns de confeco das placas de circuito impresso. A que ser utilizada neste curso chamada de encapsulamento em linha dupla ou DIP (dual in-line package), na qual o CI tem duas las de terminais paralelos. Os terminais de um CI DIP podem ser identicados da seguinte forma: olhando o circuito de cima, nota-se um pequeno chanfro em uma das extremidades entre os pinos, indicando a parte superior do CI. Por conveno, ao lado esquerdo do chanfro encontra-se o pino 1. Em alguns CIs (com encapsulamento de plstico) h ainda um pequeno crculo ao lado do pino 1. A numerao feita em sentido anti-horrio, como mostrado na Figura 1.2. Para se determinar a funo de cada um dos terminais deve-se 28

1.2. Portas Lgicas e Circuitos Integrados

1 2 3 4 5

14 13 12 11 10 9 8

14 1

6 7

Figura 1.2: Encapsulamento DIP de 14 pinos: vista em perspectiva e superior. analisar o data sheet do componente (ver seo 1.2.4), como exemplo a Figura 1.3 mostra a identicao dos terminais do CI 74LS32 (CI com quatro portas OR de duas entradas). Observe nesta gura que os pinos 14 (VCC) e 7 (GND) devem ser ligados fonte de alimentao nos terminais positivo e negativo, respectivamente.
14 VCC 13 12 11 10 9 8

GND 1 2 3 4 5 6 7

Figura 1.3: Identicao dos terminais do CI 74LS32 (vista superior). O encapsulamento DIP usado em CIs com nmero relativamente baixo de pinos. Tipicamente, usa-se este encapsulamento para CIs de at 40 pinos. Circuitos com um nmero maior de pinos, tais como os microprocessadores comerciais atuais, exigem uma estratgia diferente, aproveitando todo o espao possvel da cpsula para alocar os pinos. Assim, encontram-se disponveis montagens de superfcie quadradas, tais como BGA e QFP; outros tipos de encapsulamento comuns para CIs encontram-se listados na Tabela 1.4. Mais recentemente, o encapsulamento LGA (Land Grid Array), Figura 1.4, introduziu a novidade de se deixar os pinos no soquete onde o CI ser inserido (por 29

1. C ARACTERIZAO DE P ORTAS L GICAS

exemplo, na placa-me do computador), assim os CIs no utilizam pinos de contato em sua parte inferior, mas sim pontos metlicos. Quando o CI encaixado na placame, por exemplo, esses pontos cam em contato com pinos existentes no soquete. Esse um padro atualmente usado nos processadores Core I7 da Intel.

Figura 1.4: Ilustrao de um encapsulamento LGA. Observe que no h pinos, somente contatos metlicos.

Tabela 1.4: Algumas variantes de encapsulamento de CIs. S IGLA BGA CDIP CFP CGA CPGA DIP LCC LGA S IGNIFICADO Ball Grid Array Ceramic DIP Ceramic Flat Pack Column grid array Ceramic Pin Grid Array Dual Inline Package Leadless Chip Carrier Land Grid Array S IGLA LQFP MDIP PDIP PGA PLCC SIP SOP ZIP S IGNIFICADO Low-prole Quad Flat Package Molded DIP Plastic DIP Pin Grid Array Plastic Leaded Chip Carrier Single in-line Package Small Outline Package Zig-zag in-line package

1.2.4 O Data Sheet


As informaes importantes para o correto uso de um CI encontra-se em uma breve especicao funcional (ou manual) chamada de data sheet. Cada fabricante disponibiliza o data sheet correspondente ao produto manufaturado. Vale a pena mencionar que, independentemente do fabricante, alguns parmetros bsicos so sempre fornecidos e tm o mesmo nome, de modo a possibilitar ao projetista realizar a interconexo entre CIs de fornecedores diferentes. 30

1.2. Portas Lgicas e Circuitos Integrados

O entendimento das caractersticas estticas e dinmicas que denem as capacidades e limitaes de qualquer dispositivo lgico vital para a obteno de um projeto consistente e convel. Por exemplo, como j visto nas aulas tericas, as informaes binrias so representadas por faixas de tenses e os valores tpicos de tenses em um sistema digital da forma mostrada na Figura 1.5. 5.0 V

Binrio 1

2.0 V Tenses Invlidas 0.8 V No usado

Binrio 0 0.0 V Figura 1.5: Valores tpicos de tenso em um sistema digital. No entanto, na prtica esses valores so ligeiramente diferentes dos mostrados, pois dependem de vrios fatores como, por exemplo, a tecnologia de fabricao utilizada, conforme pode ser visto na Figura 1.6. Portanto, no subestime o valor do estudo detalhado dos manuais do fabricante. O bom entendimento dos parmetros eltricos estticos e dinmicos das pastilhas comerciais de importncia fundamental para a realizao de um bom projeto.
1 - (0 V) E NTRADA 0 - (5 V) tPHL 1.5 V 0.8 V 0.4 V 0V VIL VOL GND 0.5 V 0V VIL VOL GND tPLH 1 - (5 V)

5V

VCC

5V 4.4 V 3.5 V

VCC VOH VIH

2.4 V 2V

VOH VIH

S ADA 0 - (0 V)

TTL 5 V

CMOS 5 V

Figura 1.6: Alguns parmetros de operao de CIs. 31

1. C ARACTERIZAO DE P ORTAS L GICAS

Os parmetros mais relevantes encontrados nos manuais dos CIs usados neste curso esto explicitados na Tabela 1.5, com valores extrados de um CI tpico. Os valores exatos devem ser analisados caso a caso, pois variam de acordo com a famlia do CI.

Tabela 1.5: Alguns parmetros de operao de CIs. Smbolo VCC VIH Parmetro Mnimo Tenso de alimentao do CI Tenso mnima de entrada na porta interpretada como nvel Alto (High) Tenso mxima de entrada na porta interpretada como nvel Baixo (Low) Tenso mnima de sada na porta para nvel Alto (High) Tenso mxima de sada na porta para nvel Baixo (Low) Corrente mxima absorvida pela entrada em nvel Alto (High) Corrente mxima fornecida pela entrada em nvel Baixo (Low) Corrente mxima fornecida pela porta em nvel lgico Alto (High) Corrente mxima absorvida pela sada em nvel lgico Baixo (Low) Atraso entrada-sada, de nvel Baixo para Alto (Low para High) Atraso entrada-sada, de nvel Alto para Baixo (High para Low) 8 2,7 3,5 0,35 0,5 20,0 4,75 2,0 Limites Tpico Mximo 5,0 5,25 Unid. V V

VIL

0,8

VOH VOL IIH

V V mA

IIL

0,1

mA

IOH

-0,4

mA

IOL

8,0

mA

tPLH

15

ns

tPHL

10

20

ns

32

1.2. Portas Lgicas e Circuitos Integrados

1.2.5 Parmetros Eltricos Estticos da Familia TTL


Os circuitos TTL da serie 74 foram projetados para operar com tenso de alimentao Vcc = 5, 00V 5%, numa faixa de temperatura de 0 C a 70 C. Para 5, 00V , a 25 C, cada porta TTL da serie 74 consome, em mdia, uma potncia de 10 mW. Todos os parmetros apresentados no datasheet so garantidos pelos fabricantes dos circuitos integrados da srie 74, se as limitaes mencionadas forem obedecidas. Conforme j mencionado, uma caracterstica eltrica muito importante para caracterizao do CI sua curva de transferncia de tenso. Esta dada por um grco tenso da sada versus tenso de entrada. A Figura 1.7 apresenta um exemplo de uma curva de transferncia de um inversor.
Tenso de Sada (V) VCC 4 3 2

Nvel 1

Vb
1

Vx Va

Nvel 0
1.5 2.0 2.5 3.0

0.5

1.0

Tenso de Entrada (V)

Figura 1.7: Caracterstica de transferncia tpica de uma porta TTL inversora. Para tenses de entrada inferiores a Vb a sada apresenta uma tenso de sada constante igual a 4V (nvel lgico UM). A partir de Vb , a sada comea a apresentar uma queda de tenso. Quando a tenso de entrada atinge Vx , a queda se torna mais acentuada, chegando a um nvel mnimo em Va . A partir deste valor, a sada permanece constante (nvel lgico ZERO). Os valores tpicos destas tenses so: Vb = 0, 7V , Vx = 1, 0V , Va = 1, 3V , NVEL UM = 4, 0V e NVEL ZERO = 0, 3V . A Figura 1.6 mostra os nveis de tenso garantidos pelos fabricantes. Vale a pena observar que VOH deve ser maior do que VIH e que VOL deve ser menor do que VIL . A diferena entre estes valores fornecem as faixas de imunidade a rudo para os nveis lgicos. Notem que a imunidade a rudo da tecnologia CMOS maior do que a TTL, alm do fato de que, na famlia CMOS, os nveis de tenso mencionados serem simtricos com relao aos nveis GND e VCC . Analisando-se esses valores de tenso, pode-se concluir que os circuitos TTL admitem, no pior caso, uma margem de rudo CC de 0, 4V . Assim sendo, no pior caso, ao nvel ZERO fornecido por uma sada TTL pode-se somar um rudo de amplitude +0, 4V , que o sinal resultante ainda reconhecido corretamente por uma entrada TTL; no nvel UM fornecido por uma sada TTL, 33

1. C ARACTERIZAO DE P ORTAS L GICAS

pode-se somar um rudo de amplitude 0, 4V , que o sinal resultante ainda se encontra dentro das especicaes de entrada para nvel UM. Para valores de tenso compreendidos entre 0, 8V e 2, 0V , nada se garante com relao aos nveis lgicos [SM11a]. Alm da compatibilidade entre os nveis de tenso requeridos pelas entradas e fornecidos pelas sadas, tambm necessrio examinar os valores das correntes absorvidas e fornecidas pelas entradas e sadas dos circuitos integrados, tanto em nvel UM como em nvel ZERO. A Tabela 1.6 apresenta os valores tpicos encontrados. Tabela 1.6: Nveis de corrente tpicos para a srie 74 da tecnologia TTL. Valores obtidos de [SM11a] PARMETRO
IIL I OL II H I OH

DESCRIO Corrente mxima fornecida por entrada em nvel ZERO Corrente mxima absorvida por sada em nvel ZERO Corrente mxima absorvida por entrada em nvel UM Corrente mxima fornecida por sada em nvel UM

VALOR -1,6 mA +16 mA +40 A -400 A

Analisando-se a tabela acima, conclui-se que uma sada TTL pode excitar at 10 entradas da mesma famlia (fan-out). Outra especicao importante fornecida pelos fabricantes dos circuitos TTL diz respeito mxima tenso que pode ser aplicada s entradas. Para a srie 74, recomendado no se colocar nveis de tenso superiores a 5, 5V , pois o circuito pode ser danicado se uma entrada receber uma tenso superior a este valor. Um outro ponto a se notar que a I OL >> I OH . Desta forma, ao conectar um LED na sada de um CI TTL, prefervel fazer com que ele seja aceso quando a sada for para o nvel lgico 0.

1.2.6 Parmetros Eltricos Estticos da Famlia CMOS


Circuitos integrados CMOS (MOS Complementar) so componentes que apresentam as mesmas funes lgicas disponveis em componentes da famlia TTL, mas so mais rpidos e consomem menos energia [TWM07]. Atualmente, representa a tecnologia dominante no mercado de semicondutores, sendo empregado em processadores, memrias e outros dispositivos. A curva de transferncia de tenso de um inversor CMOS apresentada a seguir, na Figura 1.8. 34

1.2. Portas Lgicas e Circuitos Integrados


Tenso de Sada VOH =VDD

Inclinao = -1

Inclinao = +1

Nvel 0
0 0 VIL VIH

Nvel 1
Tenso de Entrada VDD VTH = VDD /2

Figura 1.8: Caracterstica de transferncia tpica de uma porta CMOS inversora.

1.2.7 Parmetros de Tempo


Existem determinadas condies indesejveis de funcionamento de projetos de sistemas digitais. O equacionamento lgico obtido para a implementao de um projeto uma ferramenta suciente para a validao lgica do circuito, mas no leva em conta caractersticas fsicas dos dispositivos, que podem alterar os resultados tericos esperados [SM11a]. Uma destas condies diz respeito aos parmetros de tempo em circuitos digitais, tambm chamados de parmetros dinmicos dos CIs . A Figura 1.5 apresenta a interpretao de dois parmetros importantes tPLH e tPHL . Estes fornecem uma medida da frequncia mxima de operao do circuito: quanto menores estes tempos, mais rpida a transio entres os nveis lgicos, permitindo a utilizao de sinais com frequncia mais alta. O tempo de propagao de um CI denido atravs da mdia aritmtica dos tempos de propagao tPLH e tPHL . Alm destes dois parmetros existem mais alguns importantes [SM11a]: Tempo de Subida (Rise time - tr ) - intervalo de tempo necessrio para que um sinal v de 10% do seu valor em tenso at 90% do seu valor em tenso (Figura 1.9). Tempo de Descida (Fall time - tf ) - intervalo de tempo necessrio para que um sinal v de 90% de seu valor em tenso at 10% do seu valor em tenso (Figura 1.10). Tempo de Atraso (Delay time - td ) - intervalo de tempo decorrido entre uma variao de sinal na entrada e a correspondente variao na sada; tomase como referncia o ponto de 50% do valor de tenso, conforme mostrado na Figura 1.11. As caractersticas acima citadas, aliadas a fatores tais como, o no sincronismo de eventos, podem levar gerao de sinais indesejveis em projetos aparente35

1. C ARACTERIZAO DE P ORTAS L GICAS

0,9 V
V

0,1 V tr Figura 1.9: Caracterizao do tempo de subida.

0,9 V
V

0,1 V tf Figura 1.10: Caracterizao do tempo de descida.

Entrada Sada 0,5 VE


VE

td Sada 0,5 VS Entrada Figura 1.11: Caracterizao do tempo de atraso.

VS

mente corretos. Para que se possa contornar essa situao deve-se conhecer profundamente todas as caractersticas dos componentes que sero utilizados. 36

1.3. Pr-Relatrio

1.3

Pr-Relatrio

1.3.1 Pesquisa bibliogrca


Faca uma pesquisa bibliogrca sobre os componentes TTL e CMOS explicando as principais diferenas entre essas duas tecnologias. Alm disso, utilizando os manuais dos componentes 74LS00 (TTL) e 74HC00 (CMOS) responda as questes abaixo. 1. Com relao aos parmetros eltricos: Quais os valores dos parmetros eltricos estticos (VIL , VOL , VIH e VOH )? Monte uma tabela mostrando a comparao. Apresente a curva de transferncia de tenso de um inversor CMOS e TTL. Faa uma breve discusso das diferenas observadas. 2. Com relao aos parmetros dinmicos: Quais os valores para tPHL e tPLH ? Determine os valores de tr e tf para um inversor.

1.3.2 Projetos e Simulaes


Nesta seo so descritos os circuitos que devem ser projetados e/ou simulados. Na etapa de simulao o aluno pode utilizar o software de sua preferncia, como por exemplo, o Proteus, Circuito Maker, Pspice, etc. No entanto, devem ser apresentados: o nome do software utilizado, os diagramas de simulao desenvolvidos com todas as condies de simulao realizadas. Pede-se que o aluno entregue tambm o arquivo da simulao implementada (pode ser enviado para o e-mail do professor). Nos projetos os alunos devem apresentar todas as etapas do desenvolvimento, incluindo as tabelas verdades, simplicaes lgicas realizadas, os diagramas esquemticos dos circuitos e todas as informaes adicionais que julgar necessrias para perfeita compreenso do projeto realizado. Alm disso, em todos os projetos os alunos devem preparar o documento de metodologia de depurao, apresentando o esquema orientativo para o processo de depurao, adequado ao particular projeto (documento j debatido em aulas anteriores), para o caso de algum problema ocorrer no momento da implementao do circuito na protoboard. 1.3.2.1 Simulao 1

Simule o circuito mostrado na Figura 1.12 e apresente a tabela verdade correspondente. Qual uma possvel aplicao deste circuito? 37

1. C ARACTERIZAO DE P ORTAS L GICAS

VCC

VCC A LUZ GND

VCC B

GND

Figura 1.12: Circuito a ser simulado. 1.3.2.2 Simulao 2

A Figura 1.13 mostra um circuito de alarme de automvel usado para detectar uma determinada condio indesejada. As trs chaves so usadas para indicar, respectivamente, o estado da porta do motorista, o estado da ignio e o estado dos faris. O alarme deve ser ativado (na simulao o LED deve acender) sempre que os faris esto acesos e a ignio est desligada ou a porta do veculo est aberta e a ignio est ligada. Simule este circuito no software de sua preferncia e verique se o circuito funciona adequadamente. Justique a sua resposta.
VCC Aberta Fechada GND P ORTA

VCC Ligada Desligada GND Alarme I GNIO

LED

VCC Acesos Apagados GND F ARIS

Figura 1.13: Circuito de alarme de um automvel.

1.3.2.3

Projetos

Projete e simule os circuitos dos itens a seguir. Em todos os casos, simule seu projeto no software de sua preferncia e apresente a tabela-verdade correspondente. 38

1.4. Roteiro Experimental

Utilize LEDs como indicadores da sada da funo booleana. 1. Utilizando apenas um CI da famlia 7400 (NAND), projete um circuito AND com trs entradas. 2. Utilizando apenas um CI da famlia 7486 (XOR), projete um circuito NOXOR (XOR) com quatro entradas.

1.4
1.4.1

Roteiro Experimental
PARTE 1 - Circuitos Elementares com Portas Lgicas

Monte e teste os circuitos projetados na Seo 1.3.2.3. Com o multmetro, mea as tenses VLE D sobre o LED e VR sobre o resistor. Anote todas as medies. Visualize a tenso VR no osciloscpio e reproduza a tela do osciloscpio nos gabaritos fornecidos ao nal deste roteiro.

1.4.2

PARTE 2 - Caracterizao de CIs

1. Selecione um CI NAND da famlia TTL (por exemplo, o 74LS00) e monte o circuito da Figura 1.14 (setup para curva). Observe que nesta montagem a porta NAND est congurada de forma a operar como uma porta inversora. No se esquea de conectar a alimentao do componente (pinos VCC e GND).
Fonte xa +5.0 V

VCC (pino 14) Fonte varivel (0 a +5.0 V) Sada

GND (pino 7)

Figura 1.14: Montagem para determinao da curva de transferncia de uma porta NAND 74LS00. Figura adaptada de [SM11a] 2. Com a tenso de alimentao em VCC xa em 5 V, altere a tenso fornecida entrada, em passos de 0.5 V. Mea a tenso de sada correspondente e construa o grco da curva caracterstica de transferncia de tenso deste inversor usando as Figuras 1.16 e 1.17. 39

1. C ARACTERIZAO DE P ORTAS L GICAS

Tabela 1.7: Levantamento de curvas caractersticas de CIs TTL e CMOS.


XX XX XX VIN (V) X 0,0 FAMLIA XXXX X

0,5

1,0

1,5

2,0

2,5

3,0

3,5

4,0

4,5

5,0

TTL - VOUT (V) CMOS - VOUT (V) 3. Desconecte as entradas A e B, deixando-as sem nenhuma ligao. Com um multmetro digital realize a medida dos nveis de tenso nas entradas A e B e na sada Y do componente. Qual o nvel lgico das entradas A e B correspondente ao nvel lgico da sada Y medida? Justique no relatrio os nveis obtidos. 4. Repita os procedimentos anteriores para um CI 7400 da famlia CMOS. 5. Pense em um procedimento para determinar os nveis de tenso correspondente ao nvel lgico UM e ao nvel lgico ZERO de uma porta OR TTL. Descreva detalhadamente o procedimento elaborado e monte o circuito necessrio para determinao dos nveis de tenso. Compare os resultados obtidos com os resultados tericos esperados. 6. Monte o circuito da Figura 1.15 (setup para tempos), implementando os inversores com portas NAND. Usando o osciloscpio, reproduza as formas de onda na entrada VIN (no canal 1) e VOUT (canal 2), quando a sada tomada no primeiro estgio VOUT,1 e no segundo estgio VOUT,2 . Use a escala de tempo mais aberta possvel. Use os gabaritos em anexo e anote os tempos tPLH e tPHL . VIN CH1 VOUT,1 CH2 VOUT,2 CH2

Gerador de pulsos

Figura 1.15: Montagem para determinao dos parmetros dinmicos de um CI. Figura adaptada de [SM11a]

7. Calcule o tempo de propagao tP da porta lgica em estudo. 8. Baseado nos parmetros de tempo obtidos, qual o intervalo de valores de frequncias que pode ser usado? Justique sua resposta. 40

1.4. Roteiro Experimental

9. Escolha um valor de frequncia adequado para o gerador de pulsos e execute a medida do tempo de subida e de descida do sinal de sada da porta lgica. Anote os valores experimentais e compare com os valores pesquisados. Comente os resultados obtidos.

41

1. C ARACTERIZAO DE P ORTAS L GICAS

Tenso de sada (V)

0 0 1 2 3 4 5

Tenso de entrada (V)

Figura 1.16: Curva caracterstica experimental de circuito TTL.

Tenso de sada (V)

0 0 1 2 3 4 5

Tenso de entrada (V)

Figura 1.17: Curva caracterstica experimental de circuito CMOS.

42

1.4. Roteiro Experimental

timeDiv : 5ms/div

Channel A : 1 V/div

Channel B : 1 V/div

XY

OFF

timeDiv : 5ms/div

Channel A : 1 V/div

Channel B : 1 V/div

XY

OFF

OsetA 0 timeDiv : 5ms/div Channel A : 1 V/div

OsetB 0 Channel B : 1 V/div

OsetC 0 XY timeDiv : 5ms/div

OsetA 0 Channel A : 1 V/div

OsetB 0 Channel B : 1 V/div

OsetC 0 XY

OFF

OFF

OsetA 0 timeDiv : 5ms/div Channel A : 1 V/div

OsetB 0 Channel B : 1 V/div

OsetC 0 XY timeDiv : 5ms/div

OsetA 0 Channel A : 1 V/div

OsetB 0 Channel B : 1 V/div

OsetC 0 XY

OFF

OFF

OsetA 0

OsetB 0

OsetC 0

OsetA 0

OsetB 0

OsetC 0

43

EXPERIMENTO

C IRCUITOS L GICOS C OMBINACIONAIS


2.1 Objetivos
Aprimorar a viso do aluno quanto metodologia e implementao de um Circuito Lgico Combinacional e s implicaes das decises de projeto. Ao nal da prtica o aluno dever estar apto a trabalhar com certo grau de desenvoltura no ambiente da protoboard e a trabalhar com quaisquer circuitos combinacionais.

2.2 Circuitos Lgicos Combinacionais


2.2.1 Introduo
Os circuitos lgicos combinacionais so aqueles onde o nvel lgico da(s) sada(s), em qualquer instante de tempo, depende nica e exclusivamente, dos nveis lgicos presentes nas entradas. Em outras palavras, so circuitos que no possuem a caracterstica de memria. Estes circuitos so projetados para executar uma operao de processamento que pode ser especicada por meio de um conjunto de equaes booleanas, cuja as regras e propriedades so dadas pela lgebra de Boole, conforme j estudado nas aulas tericas. O estudo dos circuitos combinacionais importante para compreender o funcionamento de circuitos muito utilizados na construo de computadores e em vrios outros sistemas digitais, como por exemplo, os circuitos somadores, subtratores, codicadores, entre outros. De forma geral, os circuitos combinacionais podem ser usados para solucionar problemas em que se necessita de uma resposta, quando acontecerem determinadas situaes, representadas pelas variveis de entrada. Para se construir esses circuitos, necessita-se de suas expresses caractersticas 45

2. C IRCUITOS L GICOS C OMBINACIONAIS

que, como j foi visto, so obtidas atravs das tabelas verdade que representam as situaes j mencionadas.

2.2.2 Projeto de Circuitos Combinacionais


A Figura 2.1 ilustra a sequncia do processo para o projeto de qualquer circuito digital combinacional.

Figura 2.1: Ilustrao da metodologia a ser seguida para o projeto de circuitos combinacionais. O processo se inicia com uma anlise detalhada e profunda do problema que deve ser resolvido. Esta anlise deve permitir a descrio do problema na forma de uma tabela verdade. Esta a etapa mais difcil e requer experincia do projetista, pois no possvel torn-la um processo algortmico, passvel de uma metodizao absoluta devido, sobretudo, s nuances e caractersticas de cada problema. No entanto, em geral, a identicao correta das variveis de entrada e sada um passo que auxilia na construo da tabela verdade. Nesse processo, o projetista deve responder algumas perguntas, como por exemplo: Quantas so as variveis de entrada e sada? Quais so? O que representa os nveis lgicos ZERO e UM? 46

2.3. Displays

Aps essas denies deve-se realizar as combinaes das variveis de entrada e montar a tabela verdade para cada sada. Aps a obteno da tabela verdade, o prximo passo a expresso booleana de cada sada. Uma forma de realizar esse procedimento escrever o termo AND (produto) para cada caso em que a sada esteja em nvel lgico alto e depois escrever a expresso de soma de produtos para a sada. A obteno da expresso lgica do problema j permite a implementao do circuito. No entanto, altamente recomendvel simplicar a expresso lgica obtida de forma a obter um circuito mais simples e, consequentemente, mais barato. O processo de simplicao pode ser realizado atravs da lgebra de Boole ou atravs da utilizao de Mapas de Karnaugh. Antes de implementar em protoboard o circuito lgico obtido da expresso nal simplicada, recomenda-se simular o circuito em software de simulao apropriado, de forma a validar o projeto e assim evitar perda de tempo na montagem de circuitos errados.

2.3 Displays
2.3.1 Introduo
O display um elemento importante no projeto de sistemas eletrnicos, dada a sua funo de apresentar informaes inteligveis a um ser humano. A gama de utilizao dos displays bastante diversa, indo desde aplicaes no setor industrial at a incorporao em produtos eletrnicos de consumo de massa. Um exemplo recente so os displays sensveis ao toque usados em produtos portteis. Assim, encontra-se no mercado uma grande variedade de opes de formatos, especicaes e complexidade de displays. Todos os displays pedem algum tipo de circuito para controlar seus elementos internos. Por exemplo, para um display SXGA (Super Extended Graphics Array) de 1280 x 1024 pixels usado em notebooks, torna-se necessria a presena de circuitos destinados a controlar cada um de seus pixels, de modo a poder mostrar dinamicamente as imagens que se deseja visualizar. Neste experimento, ser utilizado um display LED de sete segmentos. Este tipo de display usado para visualizar informaes numricas, podendo ser usado em relgios, instrumentos de medio, painis de preo e calculadoras, dentre outras aplicaes. Como os displays necessitam de controladores, tambm ser utilizado um conversor de dgitos BCD para 7 segmentos, projetado especicamente para realizar interface com o display mencionado.

2.3.2 O Display LED de 7 Segmentos


Como o nome indica, o arranjo deste display consiste em uma matriz de LEDs formando sete segmentos, referenciados pelas letras A at G. Alm das letras, comum existir um ponto (DP decimal point), prevendo aplicaes numricas. No47

2. C IRCUITOS L GICOS C OMBINACIONAIS

tem que 7 segmentos permitem representar nmeros de 0 a 15 em hexadecimal (veriquem). Visto que cada segmento um LED, deve-se conectar o anodo e catodo de maneira correta, de forma a polariz-lo corretamente e permitir a emisso de luz. Comercialmente, h duas opes de congurao: anodo comum ou catodo comum. Neste experimento, ser utilizado um display de catodo comum, como mostrado na Figura 2.2.

DP

DP

Figura 2.2: Display de 7 segmentos. Esquerda: disposio dos segmentos; direita: congurao catodo comum. Para limitar a corrente em cada LED, convm inserir um resistor entre o catodo e a linha de aterramento. Uma possibilidade usar vrios resistores, cada um para um diodo. Outra a de usar um nico resistor para todos os diodos, utilizando o catodo comum. A primeira soluo mais trabalhosa, porm evita uma falha no display todo caso o resistor nico apresente defeito.

2.3.3 Conversor BCD para 7 segmentos


O CI 4511 realiza uma codicao de uma entrada binria em codicao BCD (entradas DA , DB , DC , DD - sendo DD o bit mais signicativo) para sete sadas (Oa at Og ), de modo a permitir a interconexo ao display de sete segmentos. Alm das entradas mencionadas, h mais trs sinais de controle, todos ativos em nvel baixo: E L : latch enable input (habilita o latch): quando E L BAIXO, o estado dos segmentos (Oa at Og ) determinado pelas entradas (DA , DB , DC , DD ); caso contrrio, a sada e determinada pelos ltimos valores armazenados no latch; 48

2.3. Displays

Figura 2.3: CI 4511: diagrama funcional e pinagem.

Figura 2.4: Tabela verdade do CI 4511.

LT : lamp test input (teste do display): quando ativo, aciona todos os segmentos do display; 49

2. C IRCUITOS L GICOS C OMBINACIONAIS

B I : ripple blanking input (desativa o display): nvel BAIXO fora todos os segmentos para nvel BAIXO; Essas informaes podem ser resumidas na tabela verdade do dispositivo, Figura 2.4, obtida no manual do fabricante. A Figura 2.5 ilustra a forma correta de realizar o acionamento de um display de 7 segmentos. Observe nesta gura que o LED utilizado do tipo anodo comum, visto que os anodos de todos os segmentos esto conectados juntos em VCC

Figura 2.5: Decodicador/driver BCD para 7 segmentos acionando um display de LEDs de 7 segmentos tipo anodo comum.

2.4

Pr-Relatrio

2.4.1 Pesquisa bibliogrca


Conforme mencionado, o processo de simplicao de expresses lgicas pode ser feito atravs da Algebra de Boole ou atravs da utilizao do Mapa de Karnaugh. Essas duas abordagens, embora ecientes, so restritas problemas com baixo nmero de variveis. Desta forma, procure na literatura como realizado o processo de simplicao em problemas que possuem nmero elevado de variveis. Descreva o procedimento de forma sucinta (no necessrio detalhar o processo). No se esquea de mencionar a sua fonte bibliogrca.

2.4.2 Projetos e Simulaes


Nesta seo so descritos os circuitos que devem ser projetados e/ou simulados. Na etapa de simulao o aluno pode utilizar o software de sua preferncia, como por 50

2.4. Pr-Relatrio

exemplo, o Proteus, Circuito Maker, Pspice, etc. No entanto, devem ser apresentados: o nome do software utilizado, os diagramas de simulao desenvolvidos com todas as condies de simulao realizadas. Pede-se que o aluno entregue tambm o arquivo da simulao implementada (pode ser enviado para o e-mail do professor). Nos projetos os alunos devem apresentar todas as etapas do desenvolvimento, incluindo as tabelas verdades, simplicaes lgicas realizadas, os diagramas esquemticos dos circuitos e todas as informaes adicionais que julgar necessrias para perfeita compreenso do projeto realizado. Alm disso, em todos os projetos os alunos devem preparar o documento de metodologia de depurao, apresentando o esquema orientativo para o processo de depurao, adequado ao particular projeto (documento j debatido em aulas anteriores), para o caso de algum problema ocorrer no momento da implementao do circuito na protoboard. 2.4.2.1 Projeto e Simulao 1

Um circuito digital desconhecido possui trs entradas e gera uma nica sada digital F. Para sintetizar este circuito montou-se no laboratrio a congurao mostrada na Figura 2.6.

A Gerador de Palavras Digitais B C Circuito Digital Desconhecido Black Box F

Figura 2.6: Montagem experimental para determinao do circuito digital. Observe na Figura 2.6 que utilizado um dispositivo chamado de analisador lgico. Um analisador lgico um instrumento eletrnico usado para visualizar no tempo os estados lgicos de vrios pontos de um circuito eletrnico digital. Basicamente vrios canais de monitoramento so conectados a um circuito real e o resultado apresentado em uma tela de vdeo ou gracamente em papel atravs de uma impressora. Dessa forma pode-se analisar o comportamento e a temporizao de portas lgicas, contadores, registradores, processadores e demais componentes digitais de um circuito. Nesta montagem o gerador de palavras digitais gera uma palavra de trs bits (bits A, B e C) a cada 50 ns e o diagrama de temporizao obtido atravs do analisador lgico e apresentado na Figura 2.7. Considerando as informaes expostas: Projete e simule o circuito combinacional simplicado que satisfaz este diagrama. No se esquea de apresentar a 51

2. C IRCUITOS L GICOS C OMBINACIONAIS

Figura 2.7: Formas de ondas observadas na tela do analisador lgico. expresso lgica e o diagrama esquemtico do circuito. Alm disso, justique o aparecimento de um deslocamento t no diagrama temporal da sada F. 2.4.2.2 Projeto e Simulao 2

Projetar e simular um circuito digital para informar os cinco ltimos nmeros do seu registro acadmico (RA ou matrcula). O dgito que dever ser apresentado no display ser selecionado atravs de trs chaves. No projeto, as seguintes consideraes devem ser respeitadas: Desprezar o trao (ou barra) presente no seu RA. Exemplo, 09/0037111 090037111. Desprezar os ltimos quatro dgitos esquerda, de forma a deixar seu RA com apenas 5 dgitos: Exemplo, 090037111 37111. Para as entradas no previstas no projeto deve-se utilizar a condio que proporcione maior economia de portas lgicas, ou seja, o menor circuito possvel. Exemplo de Projeto: Considere um aluno cujo registro acadmico (RA) o nmero 09/0037451. Levando-se em considerao os itens descritos anteriormente, para efeito de projeto tal RA passa a ser considerado como 37451. O objetivo geral do projeto obter um circuito digital que, de acordo com as entradas presentes nas chaves seletoras (isto : 000, 001, 010, 011, 100), exiba no display de 7 segmentos o dgito de posio equivalente ao selecionado nas chaves seletoras. Por exemplo, se as chaves seletoras estiverem na condio 000 deve-se se exibir o dgito mais a direita do seu RA, ou seja, o dgito 1. Se a condio de entrada for 001, deve-se exibir o dgito imediatamente a esquerda, ou seja o 5. Se a condio de entrada for 010, deve-se exibir o dgito 4, se for 011, deve-se exibir o dgito 7 e, por m, se a condio de entrada for 100, deve-se exibir o dgito 3. Observao: Cada aluno deve fazer um circuito para o seu RA especco (portanto, em cada dupla, deve existir dois projetos diferentes), de forma que, no dever existir dois circuitos idnticos sob pena do aluno receber nota zero no prrelatrio e car impedido de realizar o experimento. 52

2.5. Roteiro Experimental

2.4.2.3

Projeto e Simulao 3

Projete um sistema que multiplique um nmero binrio de 3 bits por 2 ou por 3, dependendo do valor de um sinal M. O resultado obtido deve ser mostrado no display de 7 segmentos. Para os casos que no for possvel exibir o resultado no display, o aluno tem liberdade para decidir o que deve ser exibido. No entanto, deve estar bem claro, antes do projeto, o que ir acontecer nesses casos. Considere: M=0 multiplicao por 2 e M=1 multiplicao por 3.

2.5 Roteiro Experimental


Monte os circuitos projetados nas Sees 2.4.2.2 e 2.4.2.3. Com relao ao circuito da seo 2.4.2.2 os alunos devem escolher um dos projetos da dupla para realizar a montagem. Nas duas montagens deve-se realizar a seguinte sequncia: 1. Monte o circuito projetado na protoboard; 2. Levante a tabela verdade para o circuito projetado, introduzindo todas as combinaes possveis de entradas para o circuito e anotando as sadas do display; 3. Se algum erro ocorreu e a tabela verdade esperada no foi obtida, execute a metodologia de depurao elaborada para encontrar o problema. No se esquea de apresentar no relatrio o documento da metodologia de depurao; 4. Responda as seguintes perguntas: Qual a maior diculdade encontrada no projeto? Aps ter adquirido a experincia em projetar este circuito, o que voc faria diferente para tornar o processo mais simples? Se for preciso alterar o tipo do display utilizado de ctodo comum para nodo comum, de forma rpida, quais alteraes voc sugeriria no circuito? Foi preciso utilizar o documento metodologia de depurao? Se sim, quais tens foram equivocados e no ajudaram no processo de encontrar o erro de montagem? Quais mudanas vocs propem nesse documento para deix-lo mais eciente?

53

PERIMENTO

C IRCUITOS S OMADORES E S UBTRATORES


3.1 Objetivos
Familiarizao com a aritmtica binria e com a implementao de circuitos somadores binrios.

3.2 Circuitos Aritmticos


3.2.1 Introduo
Uma funo essencial da maioria dos computadores e calculadoras a realizao de operaes aritmticas. Essas operaes so realizadas em uma parte especca do hardware conhecida como Unidade Lgica e Aritmtica (ULA). Esta unidade formada por portas lgicas e ip-ops que combinados permitem a realizao de somas, subtraes, multiplicaes e divises de nmeros binrios. Esses circuitos realizam essas operaes em uma velocidade considerada humanamente impossvel. Normalmente, uma operao de adio demora menos que 100 ns [TWM07]. A estrutura bsica de uma ULA est mostrada na Figura 3.1. O objetivo bsico de uma ULA receber dados binrios armazenados na memria e executar operaes aritmticas e lgicas sobre esses dados, de acordo com instrues provenientes da unidade de controle. Assim, uma sequncia de operaes tpica de uma ULA pode ocorrer conforme se segue: 1. A unidade de controle recebe uma instruo determinando que um determinado valor na memria deve ser somado ao valor do acumulador; 2. O valor transferido da memria para o registrado B; 55

EX

3. C IRCUITOS S OMADORES E S UBTRATORES

3. Os valores do acumulador e do registrador B so apresentados lgica de adio que executa a soma e armazena o resultado no acumulador; 4. O resultado pode ser mantido no acumulador para operaes subsequentes ou ser transferido para a memria.

Unidade lgica / aritmtica

Unidade Central de Processamento

dados, informao

Entrada

Controle

Sada
sinais de controle dados ou informao

dados, informao

Memria

Figura 3.1: Blocos funcionais de uma ULA. Figura extrada de [TWM07]. A complexidade dos blocos funcionais da ULA mostrados na Figura 3.1 proporcional complexidade do sistema em que ser utilizada; assim, sistemas simples permitem o uso de ULAs simples e sistemas sosticados exigem ULAs sosticadas. Uma vez estabelecido o porte do sistema, existe tambm o compromisso entre velocidade e preo. Por exemplo, as calculadoras eletrnicas exigem ULAs que permitem operaes complexas, porm com velocidade de operao baixa, reduzindo-se o custo; j os computadores de grande porte exigem velocidade de operao elevada, aumentando o custo da ULA. Neste momento do curso, no entanto, no estamos interessados no estudo detalhado de todos os blocos funcionais que formam uma ULA. Nosso foco, ento, ser apenas nos circuitos lgicos que realizam as operaes aritmticas de soma e subtrao.

3.2.2 Nmeros com sinais e o Sistema de Complemento de 2


Como a maioria dos computadores e das calculadoras digitais realiza operaes tanto com nmeros positivos e negativos, necessrio representar, de alguma forma, o sinal do nmero (+ ou ). Existem vrias formas de obter essa representao. Uma das maneiras a representao denominada de sistema sinal-magnitude. Nesta forma de representao simplesmente adiciona-se ao nmero um outro bit denominado de bit de sinal. Em geral, a conveno comum utilizar o bit 0 para nmero positivos e o bit 1 para nmeros negativos. Embora o sistema sinal-magnitude seja uma representao direta, os computadores e calculadores normalmente no o utilizam, porque esse sistema requer a implementao de circuitos mais complexos. A maioria dos sistemas modernos 56

3.2. Circuitos Aritmticos

usa o sistema de complemento de 2 para representar nmeros negativos. O complemento de 2 de um nmero obtido tomando o complemento de 1 do nmero (substituio de todos os 0s por 1s e 1s por 0s) e somando 1 na posio do bit menos signicativo. O sistema de complemento de 2 para representao de nmeros com sinal funciona da seguinte forma: Se o nmero for positivo, a magnitude representada por na sua forma direta, e um bit de sinal 0 colocado em frente ao bit mais signicativo. Se o nmero for negativo, a magnitude representada na sua forma de complemento de 2, e um bit de sinal 1 colocado em frente ao bit mais signicativo. Esse sistema o mais utilizado para representar nmeros com sinal porque permite realizar a operao de subtrao efetuando, na verdade, uma operao de adio. Desta forma, o sistema digital pode usar o mesmo circuito tanto na adio quando na subtrao, desse modo poupando hardware. Para visualizar como esse procedimento funciona, basta lembrar que realizar a subtrao de (5 4) equivalente a realizar a seguinte operao de adio (5 + (4)). Portanto, para realizar a operao de soma ou subtrao que envolva nmeros negativos, basta determinar o complemento de 2 dos nmeros negativos envolvidos e realizar a operao de adio. O procedimento descrito abaixo ajuda na tarefa de realizar operaes no sistema de complemento de 2. 1. Represente os nmeros envolvidos em binrio puro; 2. Verique a quantidade de bits da representao, se necessrio complete a seqencia de bits com zeros esquerda; 3. Identique os nmeros negativos e determine o seu complemento de 2; 4. Realize a soma binria; 5. Verique o bit de sinal do resultado, se for 0, o resultado positivo, se for 1 o resultado negativo; 6. Em caso de resultado negativo, se quiser visualizar o resultado, recomendase representar o nmero na forma de sinal-magnitude, assim determine o complemento de 2 do resultado para determinar a magnitude do nmero negativo obtido (lembrando ao nal deste processo que trata-se de um nmero negativo);

3.2.3 Circuitos Somadores


Existem diversos circuitos diferentes para implementar a operao aritmtica de soma, como por exemplo, o circuito meio somador, circuito somador completo, circuito somador completo paralelo, entre outros. Na seo 3.3.1 deste roteiro ser solicitado ao aluno realizar uma pesquisa bibliogrca para apresentar as caractersticas de cada circuito somador existente. 57

3. C IRCUITOS S OMADORES E S UBTRATORES

3.2.4

Overow Aritmtico

Ocorre sempre que uma operao aritmtica produz um nmero que necessita ser expresso em mais bits de magnitude do que est disponvel. Por exemplo, considere um sistema digital que trabalha com nmeros de 4 bits de magnitude e um bit de sinal. Considere que seja necessrio realizar a adio de +9 (01001) com +8 (01000). Neste caso, tem-se como resultado o nmero (10001)B , que representaria o decimal 1, enquanto que a resposta deveria ser +17, indicando obviamente um erro no clculo. Isso ocorre porque para representar a magnitude 17 necessrio mais do que os quatro bits disponveis, portanto ocorre o transbordamento do vai-um ou overow. A condio de overow pode ocorrer apenas quando dois nmeros positivos ou dois nmeros negativos so somados, e isso sempre produz um resultado errado. Desta forma, o overow pode ser detectado vericando se o bit de sinal do resultado tem o mesmo valor dos bits de sinal dos nmeros que esto sendo somados.

3.3

Pr-Relatrio

3.3.1 Pesquisa bibliogrca


Realize uma pesquisa bibliogrca sobre as diferentes conguraes de circuitos somadores existentes. Faa uma breve explicao sobre a teoria envolvida em cada um deles, alm de uma comparao entre essas diferentes conguraes, destacando em cada caso as vantagens e desvantagens. DICA: Pesquisar sobre um circuito denominado carry antecipado (look-ahead carry).

3.3.2 Projetos e Simulaes


Nesta seo so descritos os circuitos que devem ser projetados e/ou simulados. Na etapa de simulao o aluno pode utilizar o software de sua preferncia, como por exemplo, o Proteus, Circuito Maker, Pspice, etc. No entanto, devem ser apresentados: o nome do software utilizado, os diagramas de simulao desenvolvidos com todas as condies de simulao realizadas. Pede-se que o aluno entregue tambm o arquivo da simulao implementada (pode ser enviado para o e-mail do professor). Nos projetos os alunos devem apresentar todas as etapas do desenvolvimento, incluindo as tabelas verdades, simplicaes lgicas realizadas, os diagramas esquemticos dos circuitos e todas as informaes adicionais que julgar necessrias para perfeita compreenso do projeto realizado. Alm disso, em todos os projetos os alunos devem preparar o documento de metodologia de depurao, apresentando o esquema orientativo para o processo de depurao, adequado ao particular projeto (documento j debatido em aulas anteriores), para o caso de algum problema ocorrer no momento da implementao do circuito na protoboard. 58

3.3. Pr-Relatrio

3.3.2.1

Projeto e Simulao 1

Projetar e simular um circuito que permita realizar o complemento de 1 de um nmero de 3 bits (incluindo o bit de sinal). Esse circuito deve possuir ainda uma entrada seletora (SEL) que permita especicar quando deve-se realizar essa operao de complemento. Desta forma, quando a operao de complemento no for desejada, o circuito deve fornecer na sada exatamente o nmero de entrada (ver Figura 3.2). Assim, a funo realizada por esse circuito depende do valor da entrada de seleo SEL: se SEL = 0, a funo selecionada a IGUALDADE e Z = A; se SEL = 1, a funo selecionada o COMPLEMENTO DE 1 e Z = complemento de 1 de A. A 3 I GUALDADE / C OMPLEMENTO DE 1 3 Z Figura 3.2: Circuito seletor de Igualdade/Complemento de 1. SEL

3.3.2.2

Projeto e Simulao 2

Projetar e simular um circuito que seja capaz de detectar uma condio de overow (Estouro de Capacidade) para ser usado com um circuito somador de nmeros com sinal, de trs bits, codicados na forma de complemento de 2. 3.3.2.3 Projeto e Simulao 3

Projetar e simular um circuito SOMADOR/SUBTRATOR de trs bits (incluindo o bit de sinal) para nmeros com sinal, codicados na forma de complemento de 2, dado pelo bloco funcional mostrado na Figura 3.3. O circuito deve ter ainda uma sada (E) que indica as situaes em que ocorreu um estouro de capacidade (overow). O resultado da operao deve ser exibido em um display de sete segmentos. Desta forma, a funo realizada por este circuito, que depende do valor da entrada de seleo SEL, pode ser resumida da seguinte forma: se SEL = 0, a funo selecionada a SOMA e S = A + B; 59

3. C IRCUITOS S OMADORES E S UBTRATORES

se SEL = 1, a funo selecionada a SUBTRAO e S = A + Complemento de 2 de B. A sada E indica a condio de Overow. A sada C4 o carry da operao, que pode ser utilizado para expandir a capacidade da operao atravs da associao com outros blocos somadores. A 3 B 3 SEL

S OMADOR / S UBTRATOR 3 C4 E S

Figura 3.3: Circuito Somador/Subtrator de trs bits. DICAS: O bloco lgico funcional desse circuito deve ser, primeiramente, detalhado na forma de um circuito lgico utilizando grandes blocos funcionais. Em seguida, esse circuito lgico deve ser detalhado usando os blocos funcionais disponveis individualmente na forma de CIs (inversores, portas, etc). Utilize os circuitos projetados nas Sees 3.3.2.1 e 3.3.2.2 como parte do seu projeto. Cuidado na etapa de vericao do circuito. Prepare tabelas contendo os valores de entrada e sada e siga-as, vericando se o circuito fornece a sada correta em todos os casos. OPCIONAL: O circuito projetado ir fornecer na sada os valores negativos em sua forma de complemento de 2. Altere o seu projeto de forma que na sada os nmeros negativos no estejam nesta forma. Para indicar o sinal negativo utilize o segmento de ponto do display de 7 segmentos.

3.4

Roteiro Experimental

Implemente em protoboard os circuitos projetados nas Sees 3.3.2.1, 3.3.2.2 e 3.3.2.3. Em todas as montagens deve-se realizar a seguinte sequncia: 1. Monte o circuito projetado na protoboard; 60

3.4. Roteiro Experimental

2. Levante a tabela verdade para o circuito projetado, introduzindo todas as combinaes possveis de entradas para o circuito e anotando as sadas do display ou LEDs; 3. Se algum erro ocorreu e a tabela verdade esperada no foi obtida, execute a metodologia de depurao elaborada para encontrar o problema. No se esquea de apresentar no relatrio o documento da metodologia de depurao; 4. Observe, tambm, a ocorrncia de resultados invlidos. Caso existam, em que condies eles ocorrem? 5. Responda as seguintes perguntas: Quais as diferena entre o circuito projetado na Seo 3.3.2.3 e uma ULA (Unidade Lgica Arimtica) presente nos principais processadores? Como o circuito projetado na Seo 3.3.2.3 poderia ser modicado para poder apresentar resultados com 3 bits de magnitude?

61

C IRCUITOS C ODIFICADORES E D ECODIFICADORES


4.1 Objetivos
Compreender o funcionamento e se familiarizar com o projeto de circuitos codicadores e decodicadores.

4.2 Circuitos conversores de cdigos


4.2.1 Introduo
Atualmente, o termo digital tornou-se parte do nosso vocabulrio dirio devido ao modo intenso pelo qual os circuitos digitais e as tcnicas digitais passaram a ser amplamente utilizadas em quase todas as reas: computadores, automao, robs, transporte, telecomunicaes, entre outras. No entanto, a maioria dos sistemas digitais trabalham com as informaes codicadas em bits. O homem, por outro lado, que interage com esses sistemas, no capaz de interpretar com rapidez uma informao nessa codicao. Por exemplo, imagine voc trabalhando em seu computador escrevendo um relatrio de sistemas digitais e, ao invs de digitar as palavras em um teclado alfanumrico como est acostumado, tivesse que entrar direto com o cdigo ASCII, cdigo que o computador trabalha internamente, de cada letra. Com toda certeza levaria horas nessa tarefa! E ler seu relatrio na tela com todo o texto apresentado em formato ASCII? Praticamente impossvel!! Adicionalmente, a necessidade de interao homem - sistema digital no nica, existe tambm a necessidade de interao entre os diferentes tipos de sistemas digitais. Devido a diversidade das informaes existentes e a diversidade dos sistemas digitais, a necessidade de troca de informao entre esses diferentes sistemas 63

EXPERIMENTO

4. C IRCUITOS C ODIFICADORES E D ECODIFICADORES

muito grande. No entanto, um sistema pode no entender a informao ou o cdigo utilizado pelo outro sistema. Desta forma, fundamental a existncia de circuitos que convertam a informao de um cdigo para outro. Os circuitos que realizam essa funo so conhecidos como circuitos codicadores e decodicadores. Estes so circuitos combinacionais dedicados: circuitos comuns em projetos de sistemas digitais devido s funes lgicas que executam, podendo ser encontrados prontos em circuitos integrados comerciais. De forma geral, pode-se denir o codicador como um circuito lgico que, como o prprio nome o diz, codica uma informao que se encontra em um formato trivial, para um outro formato, nem tanto trivial, mas especializado, usando um padro de converso de tipo de cdigo. O decodicador, por sua vez, traz a informao de um formato de cdigo especializado para um formato de cdigo mais trivial. Para a parte terica e assuntos referente ao projeto dos circuitos codicadores decodicadores o aluno dever se referir s notas de aula da parte terica e/ou s referncias bibliogrcas apresentadas no nal deste roteiro.

4.2.2 Cdigos
So vrios os cdigos dentro do campo Eletrnica Digital, existindo situaes em que a utilizao de um vantajosa em relao a outro. A Tabela 4.1 apresenta alguns exemplos de representao de nmeros decimais em cdigos binrios bastante usados na prtica. Os cdigos das duas primeiras colunas da tabela so chamados cdigos ponderados, uma vez que a cada bit atribudo um peso. O cdigo da primeira coluna o mais comum e chamado cdigo 8421 ou BCD (Binary-Coded-Decimal). Tabela 4.1: Exemplos de cdigos mais frequentes. D ECIMAL 0 1 2 3 4 5 6 7 8 9 64 8421 (BCD) 0000 0001 0010 0011 0100 0111 0110 0101 1010 1011 2421 0000 0001 0010 0011 0100 1011 1100 1101 1110 1111 E XCESSO DE 3 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 2 EM 5 00011 00101 00110 01001 01010 01100 10001 10010 10100 11000 B IQUINRIO 0100001 0100010 0100100 0101000 0110000 1000001 1000010 1000100 1001000 1010000

4.3. Pr-Relatrio

Alm dos cdigos apresentados existem, por exemplo, o cdigo Gray, o cdigo Johnson, o cdigo ASCII, entre outros.

4.3

Pr-Relatrio

4.3.1 Pesquisa bibliogrca


Pesquise as principais aplicaes que empregam os cdigos apresentados na Seo 4.2.2. Se possvel, em cada caso, procure destacar a vantagem da utilizao de um cdigo em relao aos outros.

4.3.2 Projetos e Simulaes


Nesta seo so descritos os circuitos que devem ser projetados e/ou simulados. Na etapa de simulao o aluno pode utilizar o software de sua preferncia, como por exemplo, o Proteus, Circuito Maker, Pspice, etc. No entanto, devem ser apresentados: o nome do software utilizado, os diagramas de simulao desenvolvidos com todas as condies de simulao realizadas. Pede-se que o aluno entregue tambm o arquivo da simulao implementada (pode ser enviado para o e-mail do professor). Nos projetos os alunos devem apresentar todas as etapas do desenvolvimento, incluindo as tabelas verdades, simplicaes lgicas realizadas, os diagramas esquemticos dos circuitos e todas as informaes adicionais que julgar necessrias para perfeita compreenso do projeto realizado. Alm disso, em todos os projetos os alunos devem preparar o documento de metodologia de depurao, apresentando o esquema orientativo para o processo de depurao, adequado ao particular projeto (documento j debatido em aulas anteriores), para o caso de algum problema ocorrer no momento da implementao do circuito na protoboard. 4.3.2.1 Projeto e Simulao 1

Projete e simule um sistema de converso de cdigos, onde os cdigos de entrada e sada so indicados na Tabela 4.2. As sadas do circuito devem ser visualizadas em LEDs. O aluno deve obter as expresses lgicas de todas as variveis de sada, alm de minimizar as funes obtidas utilizando o Mapa de Karnaugh. Para esta etapa de minimizao, considere que as combinaes de entrada no utilizadas so condies de irrelevncia (dont care). 4.3.2.2 Projeto e Simulao 2

Um codicador de prioridades um circuito combinacional onde, se um ou mais bits de entrada forem iguais a 1, a sada indicar o bit de maior prioridade. Considerando que a ordem de prioridade denida do MSB para o LSB, a Figura 4.3 mostra a tabela verdade para um codicador de prioridade de 4 entradas. O X 65

4. C IRCUITOS C ODIFICADORES E D ECODIFICADORES

C DIGO DE E NTRADA A 0 0 0 0 0 0 0 0 1 1 B 0 0 0 0 1 1 1 1 0 0 C 0 0 1 1 0 0 1 1 0 0 D 0 1 0 1 0 1 0 1 0 1 x 0 0 0 0 0 1 1 1 1 1

C DIGO DE S ADA y 0 0 0 0 1 1 1 1 1 0 z 0 0 0 1 1 1 1 1 0 0 w 0 0 1 1 1 1 1 0 0 0 v 0 1 1 1 1 1 0 0 0 0

Tabela 4.2: Cdigos de entrada e de sada utilizados no primeiro projeto.

indica um valor de irrelevncia (dont care), e a sada V indica quando h entradas diferentes de zero. Projete e simule o circuito que implemente esse codicador. E NTRADAS D3 0 0 0 0 1 D2 0 0 0 1 x D1 0 0 1 x x D0 0 1 x x x A1 x 0 0 1 1 S ADAS A0 x 0 1 0 1 V 0 1 1 1 1

Tabela 4.3: Tabela verdade do circuito codicador de prioridades.

4.4 Roteiro Experimental


Implemente em protoboard os circuitos projetados nas Sees 4.3.2.1 e 4.3.2.2. Em todas as montagens deve-se realizar a seguinte sequncia: 1. Monte o circuito projetado na protoboard; 66

4.4. Roteiro Experimental

2. Levante a tabela verdade para o circuito projetado, introduzindo todas as combinaes possveis de entradas para o circuito e anotando as sadas mostradas nos LEDs; 3. Se algum erro ocorreu e a tabela verdade esperada no foi obtida, execute a metodologia de depurao elaborada para encontrar o problema. No se esquea de apresentar no relatrio o documento da metodologia de depurao; 4. Responda a seguinte questo: quais as diferenas entre um circuito codicador e um circuito decodicador?

67

C IRCUITOS M ULTIPLEXADORES E D EMULTIPLEXADORES


5.1 Objetivos
Familiarizao com os conceitos de multiplexao e demultiplexao, bem como sua utilizao para implementao de funes lgicas.

5.2 Circuitos Multiplexadores


5.2.1 Introduo
Multiplexar signica selecionar dados dentre diversas fontes. A Figura 5.1 mostra o esquema funcional generalizado de um multiplexador lgico. Nesse dispositivo, os terminais de seleo determinam o terminal de entrada de dados que ter seu contedo transferido para a sada. A operao inversa denominada demultiplexao. A Figura 5.2 mostra o esquema funcional de um demultiplexador. Como ser mostrado adiante, o demultiplexador lgico quase equivalente a um decodicador. As operaes de multiplexao e demultiplexao so realizadas quando diversas fontes de dados compartilham de uma mesma unidade de processamento ou canal de transmisso. importante ter em mente que, os circuitos multiplexadores e demultiplexadores so simplesmente circuitos combinacionais com diversos terminais de entrada e apenas um terminal de sada, ou vice-versa. O conceito de seleo de dados mais uma questo de aplicao e ponto de vista do que de funcionamento. Para fundamentos tericos mais detalhados e assuntos referentes a projeto desses circuitos o aluno dever consultar o material terico das aulas tericas. 69

XPERIMENTO

5. C IRCUITOS M ULTIPLEXADORES E D EMULTIPLEXADORES

E0 E1 E2 N Entradas de D ADOS E3 E4 E5 E6 E7 log2 N Entradas de S ELEO S0 S 1 S 2

MUX

Sada

Figura 5.1: Representao de um multiplexador 8/1. E0 E1 E2 Entrada

DEMUX

E3 E4 E5 E6 E7

N Sadas de D ADOS

log2 N Entradas de S ELEO

S0 S1 S 2

Figura 5.2: Representao de um demultiplexador 1/8.

5.2.2 Uso de multiplexadores para implementar funes lgicas


A implementao de funes lgicas de maior complexidade no normalmente uma tarefa fcil, podendo exigir o uso de muitas portas lgicas. Para simplicar os projetos, podem-se utilizar componentes com um maior grau de integrao (MSI Medium Scale Integration e LSI - Large Scale Integration), que proporcionam uma 70

5.2. Circuitos Multiplexadores

signicativa reduo do nmero de componentes necessrios implementao de muitas funes. No entanto, o custo de tais componentes, muitas vezes, superior ao das portas lgicas. Assim, para efeito de escolha, o custo global do projeto tambm deve ser considerado [SM06a]. O uso de multiplexadores e decodicadores uma opo vantajosa em relao s portas lgicas [SM06a]. Eles podem ser utilizados para se implementar uma funo booleana genrica e so particularmente convenientes para tal m quando a funo a ser implementada de natureza irregular, e no permite muita simplicao. Em muitos casos, o uso de multiplexadores resulta em um projeto mais fcil, mais compacto e mais exvel. Para entender como funciona o processo de utilizao dos multiplexadores para implementar uma funo lgica vamos analisar o seguinte exemplo: Exemplo 1: Considere que estamos interessados em projetar o circuito representado pela tabela verdade mostrada na Figura 5.3. Neste caso, o multiplexador pode ser usado para gerar a funo lgica diretamente da tabela verdade sem simplicao. Para isso, as entradas de seleo so usadas para as variveis lgicas e cada entrada de dados conectada permanentemente aos nveis ALTO ou BAIXO de acordo com a tabela verdade.

Figura 5.3: Tabela verdade do exemplo1. Exemplo 2: No exemplo 1, o problema analisado consistia de 3 variveis de entrada e foi utilizado um Mux 8x1. No entanto, o processo pode car um pouco mais complexo quando o problema possui um nmero de variveis de entrada maior do que a capacidade do multiplexador disponvel. Por exemplo, considere a tabela verdade mostrada na Figura 5.4. Para implementar a funo lgica desta tabela verdade precisaramos de um multiplexador de 16 canais, visto que, temos 4 variveis de entrada. No entanto, considere que est disponvel apenas MUX 8 canais. Neste caso, trs das quatro variveis independentes so escolhidas para acionar os terminais de seleo (no presente caso escolheu-se as variveis A, B e C). Observe na Figura 5.4 que, esta escolha permite reduzir a tabela verdade que tinha 16 canais para 8 canais, visto que, uma determinada combinao nas entradas A, B 71

5. C IRCUITOS M ULTIPLEXADORES E D EMULTIPLEXADORES

e C estar endereando duas linhas da tabela verdade. Assim, estamos agrupando a tabela a cada duas linhas, formando uma tabela nal com 8 canais. Cada terminal de dados do multiplexador dever ser acionado pela varivel independente que restou (no presente caso a varivel D). O terminal de sada pode, ento, assumir 4 possibilidades: f = D , f = D , f = 1 ou f = 0. A Figura 5.5 ilustra o esquema de ligao das entradas no multiplexador para implementar essa funo.

Figura 5.4: Tabela verdade do exemplo2.

5.2.2.1

Tcnica geral

A tcnica de implementao introduzida acima pode ser generalizada para uma funo de n variveis. Considere por exemplo um multiplexador de 8 terminais de dados. A expresso booleana da sada S :
S = E 1 E 2 E 3 D 0 + E 1 E 2 E 3 D 1 + . . . + E 1 E 2 E 3D 7

(5.1)

Qualquer funo de n > 3 variveis pode ser colocada na forma:


f ( A , B , C , D , E , . . .) = ABC F 0 (D , E , . . .) + ABC F 1 (D , E , . . .) + . . . + ABC F 7 (D , E , . . .)

(5.2)

em que A, B e C so variveis selecionadas arbitrariamente dentre as n e F 0 , F 1 , . . ., F 7 so funes das (n 3) variveis restantes, portanto mais simples que a funo original f. No caso particular em que n = 4, as funes F 0 , F 1 , . . ., F 7 so funes da nica varivel restante e existem apenas 4 possibilidades (1, 0, D, D ) como 72

5.3. Pr-Relatrio

Figura 5.5: Implementao da funo f com um MUX-8.

j foi visto. Tambm se n = 3, f estar na prpria forma cannica de mintermos e, portanto, os fatores F 0 , F 1 , . . ., F 7 s podem ser ou identicamente iguais a 0 ou identicamente iguais a 1. A mesma tcnica pode ser naturalmente estendida a outros multiplexadores. Um multiplexador de 16 entradas de dados, por exemplo, pode implementar qualquer uma das 232 funes diferentes de 5 variveis, com apenas uma nica porta inversora adicional.

5.3 Pr-Relatrio
5.3.1 Projetos e Simulaes
Nesta seo so descritos os circuitos que devem ser projetados e/ou simulados. Na etapa de simulao o aluno pode utilizar o software de sua preferncia, como por exemplo, o Proteus, Circuito Maker, Pspice, etc. No entanto, devem ser apresentados: o nome do software utilizado, os diagramas de simulao desenvolvidos com todas as condies de simulao realizadas. Pede-se que o aluno entregue tambm o arquivo da simulao implementada (pode ser enviado para o e-mail do professor). Nos projetos os alunos devem apresentar todas as etapas do desenvolvimento, incluindo as tabelas verdades, simplicaes lgicas realizadas, os diagramas esquemticos dos circuitos e todas as informaes adicionais que julgar necessrias para perfeita compreenso do projeto realizado. Alm disso, em todos os projetos os alunos devem preparar o documento de metodologia de depurao, apresentando o esquema orientativo para o processo de depurao, adequado ao particular projeto (documento j debatido em aulas anteriores), para o caso de algum problema ocorrer no momento da implementao do circuito na protoboard. 73

5. C IRCUITOS M ULTIPLEXADORES E D EMULTIPLEXADORES

5.3.1.1

Projeto e Simulao 1

Projete e simule um circuito que implemente a funo dada abaixo usando um multiplexador de 4 entradas de dados e um decodicador de 4 entradas.
f ( A , B , C , D ) = ABC D + ABC D + ABC D + ABC D

(5.3)

Orientao: O decodicador tambm um circuito til na implementao de funes complicadas, pois cada uma de suas sadas constitui um dos mintermos das variveis de entrada. Observe, por exemplo, que qualquer funo de 4 variveis pode ser implementada com um DECOD-4 e mais algumas portas OU. Portanto, use o decodicador como um gerador de mintermos para o multiplexador. 5.3.1.2 Projeto e Simulao 2

A economia de consumo de energia e de componentes so sempre fatores importantes a serem considerados em projetos de sistemas digitais, devido, principalmente, a fatores como ecincia e custo. Neste sentido, em projetos que necessitam de acionamento de vrios displays, comum a utilizao de uma tcnica conhecida como multiplexao de displays [SM06a]. Esta tcnica permite que apenas um decodicador de displays possa controlar uma srie de displays. Para isso, explorado o fato que os displays LED so capazes de operar em tempos da ordem de nanosegundos. Isto signica que eles podem operar num baixo duty cycle (ou fator de forma) com uma alta taxa de amostragem, isto , o sistema pode ativar os displays ciclicamente (acendendo e apagando), aproveitando a caracterstica do olho humano de detectar apenas os picos de brilho [Hig]. Desta forma, no projeto do circuito, os displays so ciclicamente acesos e apagados numa frequncia conveniente de tal forma que, para o olho humano, todos os displays permanecem durante todo o tempo acesos. Existem diversas estratgias para realizar esta tarefa, a escolha da melhor depende de cada problema especco. Um exemplo de estratgia est apresentada na Figura 5.6. Neste projeto, deseja-se mostrar em dois displays de sete segmentos os dgitos de unidade e de dezena de uma grandeza qualquer, por exemplo a temperatura numa sala. Deve-se utilizar no projeto um multiplexador para acionar dois displays de sete segmentos alternadamente, utilizando apenas um conversor BCD-7 segmentos. Tenha em mente, conforme exposto acima, que os displays no necessariamente precisam estar ativos todo o tempo, podendo ser ligados e desligados com uma certa frequncia (acima de 100 Hz), de modo que o olho humano no consiga notar esse chaveamento [Hig]. O funcionamento do circuito deve ser o seguinte: tm-se como entradas dois dgitos BCD (A e B), que devem ser mostrados em dois displays de 7 segmentos. Considere o dgito A como sendo o mais signicativo. Um sinal de onda quadrada seleciona quando cada dgito mostrado em seu respectivo display: quando a onda quadrada est em nvel lgico 1, mostrado o dgito A (e o display B desligado); quando a onda quadrada est em nvel lgico 0, mostrado o dgito B (e o display 74

5.4. Roteiro Experimental

Figura 5.6: Diagrama de blocos do projeto. Figura extrada de [Hig]. A desligado). Note que a onda quadrada deve agir sobre o multiplexador e sobre os displays de maneira simultnea e sincronizada [Hig]. Projete e simule o circuito que implemente essa funo a partir do diagrama de blocos da Figura 5.6. Considere que a onda quadrada ser proveniente do gerador de funes. Descreva resumidamente o funcionamento do seu circuito.

5.4 Roteiro Experimental


Implemente em protoboard os circuitos projetados nas Sees 5.3.1.1 e 5.3.1.2. Em todas as montagens deve-se realizar a seguinte sequncia: 1. Monte o circuito projetado na protoboard; 2. Levante a tabela verdade para o circuito projetado, introduzindo todas as combinaes possveis de entradas para o circuito e anotando as sadas mostradas nos displays; 3. Se algum erro ocorreu e a tabela verdade esperada no foi obtida, execute a metodologia de depurao elaborada para encontrar o problema. No se esquea de apresentar no relatrio o documento da metodologia de depurao; 4. Para o projeto da seo 5.3.1.2, varie a frequncia da onda quadrada e verique o comportamento do circuito. Qual a mnima frequncia para que os dgitos paream sempre acesos? 5. Comente esta armativa:o uso da multiplexao afeta no consumo de energia e na luminosidade dos displays.

75

F LIP -F LOPS
6.1 Objetivos
Estudo de elementos com memria baseados em ip-ops e outros dispositivos correlatos.

6.2 Circuitos Lgicos Seqenciais


6.2.1 Introduo
Os circuitos lgicos implementados at este momento do curso so considerados circuitos lgicos combinacionais, porque os nveis lgicos da sada, em qualquer instante de tempo, dependem apenas dos nveis lgicos presentes nas entradas nos mesmos instantes de tempo. Quaisquer condies de entrada anteriores no tm efeito sobre as sadas atuais, porque o circuito lgico combinacional no possui memria. No entanto, a maioria dos sistemas digitais constituda de circuitos combinacionais e de elementos de memria. Os circuitos com memria so conhecidos como circuitos sequenciais e apresentam as sadas dependentes das variveis de entrada e/ou de seus estados anteriores que permanecem armazenados. A Figura 6.1 mostra um diagrama em blocos de um sistema digital geral que rene portas lgicas combinacionais com dispositivos de memria. O elemento de memria mais importante o ip-op (FF), que implementado a partir de portas lgicas. Embora uma porta lgica, por si s, no tenha capacidade de armazenamento, algumas delas podem ser conectadas de tal forma que permita o armazenamento de informao. 77

XPERIMENTO

6. F LIP -F LOPS

Figura 6.1: Diagrama de blocos geral de um sistema digital.

6.2.2 O Latch
O circuito mais simples de um FF pode ser construdo a partir de duas portas NAND ou duas portas NOR, conforme mostrado na Figura 6.2. O latch, assim como o FF, um dispositivo de armazenamento temporrio que tem dois estados estveis (biestvel). A principal diferena entre o latch e o FF o mtodo utilizado para a mudana de estado. O funcionamento bsico de um latch NAND pode ser descrito como segue: SET = RESET = 1. Condio de repouso e no tem efeito sobre o estado da sada, ou seja, a sada mantida no estado anterior. SET = 0 e RESET = 1. Essa condio faz a sada ir para o estado em que Q = 1, em que permanecer mesmo que a entrada SET retorne para o nvel ALTO. Essa a operao de setar o latch. SET = 1 e RESET = 0. Essa condio sempre gera um estado de sada em que Q = 0, no qual permanece mesmo aps a entrada RESET retornar para nvel ALTO. Essa operao de limpar ou resetar o latch. A anlise do funcionamento do latch NOR pode ser feita de forma anloga ao latch NAND. A principal diferena entre essas duas conguraes que no latch NOR as entradas de SET e RESET so ativas em nvel lgico ALTO, em vez de ativas em nvel BAIXO. Desta forma, o estado de repouso SET = RESET = 0.

6.2.3 O Flip-Flop com clock


Os sistemas digitais podem operar tanto no modo assncrono quanto no sncrono. Nos sistemas assncronos, as sadas de circuitos lgicos podem mudar de estado a 78

6.2. Circuitos Lgicos Seqenciais

Figura 6.2: Latch com portas NAND e com portas NOR. qualquer momento em uma ou mais entradas mudarem de estado. Tanto o projeto quanto a anlise de defeitos nesses sistemas so mais difceis. Em sistemas sncronos, os momentos exatos em que uma sada qualquer pode mudar de estado, so determinados por um sinal normalmente denominado clock ou relgio. Este sinal de clock geralmente um trem de pulsos retangulares ou uma onda quadrada. Vrios tipos de FFs com clock so usados em um grande nmero de aplicaes. As principais caractersticas comuns a esses FFs so: 1. FFs com clock tm uma entrada de clock denominada CLK, CK ou CP, conforme mostrado na Figura 6.3 . Na maioria dos FFs com clock a entrada CLK disparada por borda, o que signica que essa entrada ativada pela transio do sinal de clock. 2. FFs com clock tambm tem uma ou mais entradas de controle que podem ter vrios nomes, dependendo do seu funcionamento. As entradas de controle no tero efeitos sobre a sada at que uma transio ativa do clock ocorra. 3. Resumindo, pode-se dizer que as entradas de controle deixam as sadas do FF prontas para mudar de estado, enquanto a transio ativa do clock que de fato dispara essa mudana. So vrios os tipos de FFs existentes. Na prxima seo (seo 6.3.1) deste relatrio ser solicitado ao aluno para fazer um breve pesquisa bibliogrca para descrever cada uma dessas conguraes. 79

6. F LIP -F LOPS

Figura 6.3: Diagrama de blocos de um FF.

6.3

Pr-Relatrio

6.3.1 Pesquisa Bibliogrca


Procure na literatura as diferentes conguraes existentes de FFs. Em cada caso procure descrever de forma sucinta suas caractersticas e problemas. Descreva tambm quais so as diferenas existentes em um FF e um latch.

6.3.2 Projetos e Simulaes


Nesta seo so descritos os circuitos que devem ser projetados e/ou simulados. Na etapa de simulao o aluno pode utilizar o software de sua preferncia, como por exemplo, o Proteus, Circuito Maker, Pspice, etc. No entanto, devem ser apresentados: o nome do software utilizado, os diagramas de simulao desenvolvidos com todas as condies de simulao realizadas. Pede-se que o aluno entregue tambm o arquivo da simulao implementada (pode ser enviado para o e-mail do professor). Nos projetos os alunos devem apresentar todas as etapas do desenvolvimento, incluindo as tabelas verdades, simplicaes lgicas realizadas, os diagramas esquemticos dos circuitos e todas as informaes adicionais que julgar necessrias para perfeita compreenso do projeto realizado. Alm disso, em todos os projetos os alunos devem preparar o documento de metodologia de depurao, apresentando o esquema orientativo para o processo de depurao, adequado ao particular projeto (documento j debatido em aulas anteriores), para o caso de algum problema ocorrer no momento da implementao do circuito na protoboard. 6.3.2.1 Projeto e Simulao 1

Projete e simule um ip-op JK disparado por borda de subida. Utilize apenas portas lgicas em seu projeto. Em seguida, altere seu projeto para que seu FF seja disparado por borda de descida. E se fosse desejado que o FF fosse disparado por borda de subida e descida de acordo com uma entrada de controle SEL? Como 80

6.4. Roteiro Experimental

caria o projeto?. Em todos os caso, utilize LEDs nas sadas para indicar o nvel lgico. 6.3.2.2 Projeto e Simulao 2

Um registrador de deslocamento um grupo de FFs organizados de modo que os nmeros binrios armazenados nos FFs sejam deslocados de um FF para o seguinte a cada pulso de clock. Esses registradores so muito comuns em calculadoras eletrnica, voc j deve ter reparado que nesses dispositivos os dgitos mostrados nos displays so deslocados cada vez que voc tecla um novo dgito. Assim, projete e simule um registrador de deslocamento de 4 bits. Utilize uma chave para gerar os pulsos de clock. Voc tem liberdade para escolher o tipo de FF a ser utilizado. As sadas do registrador devem ser ligadas a um display de sete segmentos de forma a visualizar os dados. armazenados. 6.3.2.3 Projeto e Simulao 3

Projete um divisor de frequncia que divida o sinal de entrada por 8. Considere que o sinal de clock seja proveniente de um gerador de funes. Voc tem liberdade para escolher o tipo de FF a ser utilizado.

6.4 Roteiro Experimental


Implemente em protoboard os circuitos projetados nas Sees 6.3.2.1, 6.3.2.2 e 6.3.2.2. Em todas as montagens deve-se realizar a seguinte sequncia: 1. Monte o circuito projetado na protoboard; 2. Levante a tabela verdade para o circuito projetado, introduzindo todas as combinaes possveis de entradas para o circuito e anotando as sadas mostradas nos displays e/ou LEDs; 3. Se algum erro ocorreu e a tabela verdade esperada no foi obtida, execute a metodologia de depurao elaborada para encontrar o problema. No se esquea de apresentar no relatrio o documento da metodologia de depurao; 4. Para a montagem do circuito da seo 6.3.2.3 utilize na entrada de clock um sinal de 1 kHz utilizando o gerador de funes. Com o auxlio do osciloscpio visualize as formas de onda de entrada e das sadas nos diferentes estgios da diviso. Anote as formas de onda observadas nos gabaritos existentes no nal deste roteiro e obtenha, em cada caso, a frequncia do sinal. 5. Responda as seguintes questes: Liste os possveis problemas existentes no circuito projetado na Seo 6.3.2.2. Existe algum requisito dinmico dos CIs que precisam ser considerados no projeto da seo 6.3.2.2? Quais? Explique. 81

6. F LIP -F LOPS

timeDiv : 5ms/div

Channel A : 1 V/div

Channel B : 1 V/div

XY

OFF

timeDiv : 5ms/div

Channel A : 1 V/div

Channel B : 1 V/div

XY

OFF

OsetA 0 timeDiv : 5ms/div Channel A : 1 V/div

OsetB 0 Channel B : 1 V/div

OsetC 0 XY timeDiv : 5ms/div

OsetA 0 Channel A : 1 V/div

OsetB 0 Channel B : 1 V/div

OsetC 0 XY

OFF

OFF

OsetA 0 timeDiv : 5ms/div Channel A : 1 V/div

OsetB 0 Channel B : 1 V/div

OsetC 0 XY timeDiv : 5ms/div

OsetA 0 Channel A : 1 V/div

OsetB 0 Channel B : 1 V/div

OsetC 0 XY

OFF

OFF

OsetA 0

OsetB 0

OsetC 0

OsetA 0

OsetB 0

OsetC 0

82

C IRCUITOS C ONTADORES S NCRONOS E A SSNCRONOS


7.1 Objetivos
Familiarizao com projeto e montagem de circuitos contadores sncronos e assncronos.

7.2 Circuitos Contadores


7.2.1 Introduo
Um contador eletrnico provavelmente um dos mais teis e versteis subsistemas num sistema digital. Graas s diversas verses disponveis podem ser utilizados, por exemplo, para contagens diversas, diviso de frequncia, medio de intervalo de tempo e frequncia, gerao de formas de onda, e, at mesmo, para converter informaes analgicas em digitais. Um contador digital um circuito sequencial, congurado de tal modo que para cada estado presente nas sadas dos ip-ops, existe um estado seguinte bem denido. Durante a operao de contagem, o contador desloca-se de um estado para o outro de acordo com uma sequncia especicada. possvel identicar uma caracterstica que classica os contadores, de forma ampla, em duas categorias: sncronos e assncronos. H, entretanto, vrios outros aspectos a serem considerados. Assim sendo, dentro de cada uma das duas categorias, ainda possvel classicar os contadores em funo do nmero de estados (mdulo), do nmero de sadas (bits), do tipo de sequncia gerada (binria, decimal, cdigo de Gray, etc) ou do tipo de operao: xa ou selecionvel. 83

EXPERIMENTO

7. C IRCUITOS C ONTADORES S NCRONOS E A SSNCRONOS

7.2.2 Contador Assncrono


A Figura 7.1 mostra o circuito de um contador binrio de quatro bits. A seguir descrito, resumidamente, o funcionamento deste circuito [TWM07]: Os pulsos de clock so aplicados apenas na entrada CLK do FF. Assim, o FF A comutar cada vez que ocorrer uma borda de descida no pulso de clock. Observe que J = K = 1 para todos os FFs. A sada normal do FF A funciona como clock de entrada para o FF B, sendo que este FF comuta a cada vez que a sada A muda de 1 para 0. Da mesma forma, o FF C comuta quando B muda de 1 para 0, e o FF D muda de 1 para 0. As sadas do FFs D, C, B e A representam um nmero binrio de quatro bits, sendo D o MSB. Considerando que todos os FFs tenham sido resetados para o estado 0, as formas de onda mostradas na Figura ?? mostram que um contagem binria sequencial de 0000 a 1111 seguida medida que os pulsos de clock so aplicados continuamente. Aps a borda de descida do dcimo quinto pulso de clock, os FFs do contador esto na condio 1111. Na dcima sexta borda de descida do clock, o FF A muda de 1 para 0, fazendo o FF B mude de 1 para 0, e assim por diante at que o contador chegue ao estado 0000. Em outras palavras o contador realizou um ciclo completo de contagem (de 0000 a 1111) e retornou ao estado 0000, a partir de onde comear um novo ciclo de contagem medida que os pulsos subsequentes de clock forem aplicados. Conforme descrito, neste contador, a sada de cada FF aciona a entrada de clock do FF seguinte. Esse tipo de contador chamado de contador assncrono porque os FFs no mudam de estado exatamente com o mesmo sincronismo com que os pulsos de clock so aplicados; apenas o FF A responde aos pulsos de clock. Este tipo de contador tambm denominado de contador ondulante (ripple counter) devido maneira de os FFs responderem um aps o outro como um tipo de efeito de ondulao. Os contadores ondulantes so o tipo mais simples de contadores binrios, visto que requerem poucos componentes para produzir a operao de contagem desejada. Entretanto, eles tm uma grande desvantagem, causada pelo seu princpio bsico de operao. Na seo 7.3.1 ser solicitado ao aluno para pesquisar e descrever sobre essa desvantagem.

7.2.3 Mdulo Contador


O valor do mdulo contador indica o nmero de estados da sequncia de contagem, isto , o nmero de estados que o contador percorre em cada ciclo completo de contagem at retornar ao estado inicial. Por exemplo, no circuito contador mostrado na Figura 7.3 tem 16 estados distintos (de 0000 a 1111). Assim, ele um contador ondulante de mdulo 16. 84

7.2. Circuitos Contadores

Figura 7.1: Contador assncrono de quatro bits.

7.2.4 Diagrama de transio de estados


uma forma de mostrar como os estados dos FFs mudam a cada pulso de clock aplicado. As setas que conectam um crculo ao outro mostram como ocorre a mudana

Figura 7.2: Diagrama de transio de estados. 85

7. C IRCUITOS C ONTADORES S NCRONOS E A SSNCRONOS

de um estado para outro, conforme os pulsos de clock so aplicados. Observando um estado de um crculo em particular, vemos qual o estado anterior e o posterior.

7.2.5 Contador Sncrono


Conforme mencionado, os contadores assncronos apresentam alguns problemas decorrentes do seu princpio bsico de funcionamento. As limitaes observadas nesses circuitos podem ser superadas com o uso de contadores sncronos ou paralelos nos quais os FFs so disparados simultaneamente (em paralelo) pelos pulsos de clock de entrada. No entanto, visto que os pulsos de clock de entrada so aplicados em todos os FFs, algum recurso precisa ser utilizado para controlar o momento que um FF deve alterar estado lgico. Desta forma, em um contador sncrono existe uma parte combinacional nas entradas de cada FF para controlar os momentos de transio. A Figura 7.3 mostra um exemplo de contador sncrono. Se compararmos essa congurao com o seu correspondente assncrono da Figura 7.1 temos as seguintes diferenas [TWM07]: As entradas CLK de todos os FFs esto conectadas juntas, de modo que o sinal de clock aplicado simultaneamente em cada FF. Apenas o FF A tem suas entradas J e K ligadas de forma permanente em nvel lgico alto. O contador sncrono requer um circuito maior do que o contador assncrono.

Figura 7.3: Contador sncrono de mdulo 16. Uma anlise detalhada do circuito da Figura 7.3 nos permite concluir que o princpio bsico para a construo de um contador sncrono pode ser enunciado da seguinte forma: Cada FF deve ter suas entradas J e K conectadas de modo 86

7.3. Pr-Relatrio

que elas estejam no nvel lgico ALTO apenas quando as sadas de todos os FFs de mais baixa ordem estiveram no estado ALTO. Em quase todas as aplicaes de contadores, as variveis de estado, ou simplesmente estados, so consideradas como sadas. As sadas do contador podem ser codicadas de vrias formas: binria, BCD, Gray, etc. Esse cdigo e o modulo do contador determinam como sero os blocos combinatrios do prximo estado e da sada, bem como o numero de ip-ops a ser utilizado.

7.2.6 Projeto de contadores Sncronos e Assncronos


Na seo 7.3.1 ser solicitado ao aluno realizar um resumo do procedimento para elaborao de projetos de circuitos contadores sncronos e assncronos.

7.3

Pr-Relatrio

7.3.1 Pesquisa Bibliogrca


Realize pesquisas bibliogrcas e procure responder as questes abaixo: 1. Quais so os principais problemas existentes nos contadores assncronos? Descreva cada um deles de forma resumida. 2. Cite as vantagens dos contadores sncronos em relao aos contadores assncronos. 3. Realize um resumo do procedimento para a realizao de projeto de circuitos contadores sncronos e assncronos. Procure deixar bem claro as diferenas no procedimento nos dois tipos de circuitos. 4. Como os circuitos contadores se inserem nos processadores existentes, principalmente, nos computadores e calculadoras? 5. Descreva aplicaes prticas que utilizam os circuitos contadores.

7.3.2 Projetos e Simulaes


Nesta seo so descritos os circuitos que devem ser projetados e/ou simulados. Na etapa de simulao o aluno pode utilizar o software de sua preferncia, como por exemplo, o Proteus, Circuito Maker, Pspice, etc. No entanto, devem ser apresentados: o nome do software utilizado, os diagramas de simulao desenvolvidos com todas as condies de simulao realizadas. Pede-se que o aluno entregue tambm o arquivo da simulao implementada (pode ser enviado para o e-mail do professor). Nos projetos os alunos devem apresentar todas as etapas do desenvolvimento, incluindo as tabelas verdades, simplicaes lgicas realizadas, os diagramas esquemticos dos circuitos e todas as informaes adicionais que julgar necessrias para perfeita compreenso do projeto realizado. 87

7. C IRCUITOS C ONTADORES S NCRONOS E A SSNCRONOS

Alm disso, em todos os projetos os alunos devem preparar o documento de metodologia de depurao, apresentando o esquema orientativo para o processo de depurao, adequado ao particular projeto (documento j debatido em aulas anteriores), para o caso de algum problema ocorrer no momento da implementao do circuito na protoboard. 7.3.2.1 Projeto e Simulao 1

Projete e simule um contador sncrono crescente/decrescente de mdulo 10. Uma varivel de entrada adicional UP/Down deve ser adicionada ao circuito para permitir selecionar o modo de contagem. Considere que se UP/Down = 1 o contador realiza a contagem crescente, e se UP/Down = 0 o contador realiza a contagem decrescente. As sadas do contador devem ser visualizadas em um display de 7 segmentos. Considere que o sinal de clock ser obtido atravs do gerador de funes. Em seguida, altere seu projeto substituindo o contador sncrono por um contador assncrono. Faa uma anlise comparativa entre os dois projetos, destacando as vantagens e desvantagens de cada um. Com base no manual do fabricante dos CIs, qual a frequncia mxima de operao dos contadores? (OBS - lembre que nos contadores assncronos, a mxima frequncia de operao depende no s dos parmetros dos ipops, mas tambm do nmero de bits). Qual deve ser o efeito esperado de se trabalhar em uma frequncia acima da especicada? 7.3.2.2 Projeto e Simulao 2

Projete e simule um contador sncrono usando FFs J-K que tenha a seguinte sequncia: 000, 010, 101, 110 e repete. Os estados indesejveis (no usados) devem levar o contador sempre para o estado 000 no prximo pulso de clock. Alm disso, seu circuito deve conter uma lgica que permite denir o estado inicial da contagem, ou seja, deve permitir selecionar um dos estados 000, 010, 101 ou 110 como estado inicial ao energizar o circuito. As sadas do contador devem ser visualizadas em um display de 7 segmentos e considere que o sinal de clock ser obtido atravs do gerador de funes. 7.3.2.3 Projeto e Simulao 3

Altere o seu projeto anterior considerando que agora os estados indesejados devem levar o contador a executar sempre a seguinte sequncia 001, 011, 100, 111, 000 antes de retornar para a contagem normal. Simule o seu projeto alterado.

7.4 Roteiro Experimental


Implemente em protoboard os circuitos projetados nas Sees 7.3.2.1, 7.3.2.2 e 7.3.2.3. Em todas as montagens deve-se realizar a seguinte sequncia: 1. Monte o circuito projetado na protoboard; 88

7.4. Roteiro Experimental

2. Utilize o gerador de funes para gerar o sinal de clock. Selecione um valor de frequncia adequado que seja possvel visualizar a sequncia de contagem no display de 7 segmentos. 3. Levante a tabela verdade para o circuito projetado, introduzindo todas as combinaes possveis de entradas para o circuito e anotando as sadas mostradas no display de 7 segmentos; 4. Para a montagem dos circuitos das sees 7.3.2.2 e 7.3.2.3 altere o estado inicial da contagem e verique se o circuito responde adequadamente. Em seguida, inicie a sequncia com um estado indesejado e verique o funcionamento. 5. Se algum erro ocorreu e a tabela verdade esperada no foi obtida, execute a metodologia de depurao elaborada para encontrar o problema. No se esquea de apresentar no relatrio o documento da metodologia de depurao; 6. Aumente a frequncia do sinal de clock e descreva os efeitos observados. O que acontece se voc aumentar a frequncia do sinal de clock acima do valor mximo terico calculado anteriormente?

89

Parte III Projetos Finais

91

I
R EGRAS G ERAIS
I.1 Introduo
Esse documento descreve os projetos nais que devem ser realizados pelos alunos de laboratrio da disciplina de Sistemas Digitais I. O objetivo do trabalho nal o de fomentar a integrao dos conhecimentos adquiridos ao longo do curso e propiciar maior experincia na elaborao de projetos na rea de eletrnica digital. Os projetos devem ser realizados em duplas e entregues na data especicada pelo professor. Nas prximas Sees deste documento sero descritas as especicaes dos temas propostos. Cada dupla dever escolher e realizar apenas um dos projetos. O trabalho somente pode ser realizado pela dupla de alunos formada no incio do semestre, ou por um nico integrante: vedada a participao de trios. Caso um integrante da dupla desista do curso ao longo do semestre, o aluno remanescente deve procurar um outro colega que esteja na mesma situao ou realizar o trabalho sozinho. Se este for o seu caso, avise o professor de sua turma de laboratrio. Os projetos devero ser implementados em protoboard e todas as especicaes descritas devem ser cumpridas. A falta de qualquer especicao implicar na perda de pontos na nota nal do trabalho. Antes da implementao do circuito em protoboard os alunos devero realizar a simulao do circuito projetado para vericar se o projeto est adequado. Adicionalmente, os alunos devem preparar um relatrio descrevendo, em detalhes, o processo de desenvolvimento do projeto. Neste documento devem estar descritos todos os passos relevantes para implementao do projeto. As etapas mnimas do desenvolvimento do projeto envolvem: Descrio do funcionamento do circuito em diagrama de blocos, destacando as variveis de entrada e sada; Elaborao da(s) tabela(s) verdade do circuito; 93

I. R EGRAS G ERAIS

Processo de simplicao do circuito; Expresso lgica nal e diagrama esquemtico do circuito; Simulao do circuito em software adequado; Lista de materiais necessrios para a montagem; Processo de montagem; Metodologia de testes, operao e depurao de erros; Discusses; Concluses. Por m, os alunos devem preparar uma apresentao de no mximo 5 minutos, apresentando os pontos principais do projeto e as maiores diculdades encontradas. Aps o tempo de apresentao o professor (ou uma banca de professores) ter 5 minutos para realizar perguntas a respeito dos projetos. Os alunos devero vericar antecipadamente com os tcnicos de apoio do laboratrio a disponibilidade dos materiais necessrios para a montagem. Caso no tenha disponvel algum material de responsabilidade do aluno obt-lo ou refazer o projeto buscando alternativas para contornar o problema. Lembre-se, na sua vida prossional, nem sempre voc ter todos os componentes necessrios para executar um determinado projeto. Qualquer dvida sobre os temas propostos e/ou sobre a realizao dos projetos devem ser sanadas, antecipadamente, com o seu professor de laboratrio.

I.2

Sobre os Temas de Projeto

Neste semestre, a dupla poder: 1. Optar por um dos quatro temas expostos na Parte II deste documento; 2. Propor uma idia de projeto para o professor de laboratrio. A proposta deve ser encaminhada para avaliao do professor com antecedncia de pelo menos um ms antes do nal das aulas. A resposta da avaliao pode ser de trs tipos: Idia aprovada sem modicaes; Idia aprovada com modicaes indicadas pelo professor. Neste caso, o projeto original deve ser alterado para atendar s especicaes impostas pelo professor. Idia reprovada. 94

I.3. Documentos Esperados

I.3 Documentos Esperados


O projeto escolhido deve estar documentado da seguinte forma: Um relatrio impresso do projeto, nos moldes descritos na Seo I e de acordo com as regras descritas para elaborao dos relatrios dos experimentos (ver item 1.3 da Apostila). Neste documento, todos os diagramas de blocos e esquemticos devem estar presentes e devidamente identicados. No sero aceitos documentos manuscritos. Um conjunto de no mximo 15 slides, descrevendo o trabalho realizado. No preciso imprimir os slides. Todos os arquivos eletrnicos correspondentes os esquemticos e simulaes simulaes do projeto. O conjunto dos trs documentos deve ser compactado e enviado ao e-mail do professor, no mais tardar, um dia antes da data da apresentao do projeto. O arquivo ser identicado da seguinte forma: XX YY aluno1 aluno2.zip, ode XX a turma e YY a opo de tema. Exemplo: Fulano e Sicrano da Turma TT optaram pela opo de projeto 1 (ULA). O arquivo a ser enviado ser TT 1 fulano sicrano.zip. No caso de projeto ser de tema livre, o campo YY deve ser LL.

95

1.1 Introduo
Nesta opo, o projeto de uma Unidade Lgico-Aritmtica (ULA) simples. Uma ULA um circuito responsvel por realizar operaes aritmticas e lgicas em um sistema digital, onde a operao que deve ser executada determinada por sinais de controle externos. Uma representao simplicada de uma ULA est apresentada na Figura 1.1, onde A e B so os dados (ou operandos) sobre os quais ser realizada uma operao. Como h vrias opes para as operaes, h uma seleo de qual deve ser a operao, indicada pela entrada S. O resultado da operao apresentado na sada da ULA.

ULA
Sada

Figura 1.1: Esquema simplicado de uma ULA.

1.2 Projeto Bsico


A ULA projetada deve possuir as seguintes caractersticas funcionais: 97

PROJET

ULA

1. ULA

Comprimento dos operandos: trs bits (A0 A1 A2 e B0 B1 B2 ). Tipos de operao: h dois tipos de operao - com um e com dois operandos, totalizando oito operaes possveis para a ULA projetada. As operaes com dois operandos so: soma, subtrao, multiplicao, diviso, AND, OR, e XOR. A operao com um nico operando NOT. Em todos os casos, os operandos so nmeros positivos entre 0 e 7. Para as operaes lgicas, o resultado da operao lgica feita bit a bit. No caso especco da diviso, o segundo display deve informar o resto da diviso. Exemplos de resultados para as operaes esto na Tabela 1.1. Entrada de Dados: h duas entradas: uma para os operandos e outra para selecionar a operao. No caso de operaes dois operandos, eles devem ser inseridos de maneira sequencial, como em uma calculadora simples. Sada de Dados: os operandos, assim como o resultado das operaes, devem ser apresentados em displays de sete segmentos. No seu projeto, deixe claro quais os resultados para vrias possibilidades de entrada e sada (isto , elabore um manual de instrues de uso do seu circuito).

1.3 Exemplos de Funcionamento


Na Tabela 1.1, apresentam-se alguns resultados esperados para as operaes lgicoaritmticas da ULA a ser projetada. Tabela 1.1: Exemplos de operaes a serem realizadas pela ULA. Operao (OP) + x

AND OR XOR NOT

A 011 111 100 101 110 011 011 011 000

B A OP B Display 001 100 04 101 100101 35 010 010 2 011 001 12 010 011 30 101 001 01 101 111 07 101 110 06 NA 111 07

1.4 Desaos Adicionais


Aprimore a ULA para contemplar nmeros negativos representados em complemento de dois (+2 pontos); Aprimore a ULA para apresentar o resultado da diviso com uma casa decimal (+2 pontos); 98

1.4. Desaos Adicionais

Aprimore a Sada de Dados para visualizar simultaneamente os operandos, a operao e o resultado da operao (+2 pontos); Aprimore a Entrada de Dados para contemplar um teclado com 16 teclas (8 nmeros, 8 operaes). Para nmeros negativos, insira uma tecla a mais para o sinal (+2 pontos).

99

PROJETO

G ERADOR

DE

O NDA Q UADRADA P ROGRAMVEL

2.1 Projeto Bsico


Este projeto deve gerar uma onda quadrada de frequncia programvel (congurvel), com as seguintes caractersticas funcionais: Nmero de frequncias possveis: 64, igualmente espaadas; Entrada de Dados: chaves (ou teclado) para informar qual das 64 possibilidades de frequncia ser gerada na sada; um sinal START/STOP, para iniciar e terminar a gerao da onda. Sada de Dados: dois displays indicando a opo de frequncia; um ponto de extrao para o sinal de onda quadrada; dois LEDs (verde, indicando que a onda est sendo gerada; vermelho, indicando que no h sada naquele momento), de acordo com a Figura 2.1. Sinal de Referncia: este um sinal externo (por exemplo, proveniente do gerador de funes), a partir do qual a sua frequncia ser subdividida em uma das 64 opes. A sada deve ser uma onda quadrada, com ciclo de trabalho de 50% e com nveis de tenso de 0 V para o nvel baixo e de 5 V para o nvel alto. Para vericar o funcionamento correto do circuito, o sinal de sada ter sua frequncia medida com instrumentos de bancada.

2.2 Desaos Adicionais


Aprimore a Entrada de Dados para contemplar um teclado (+2 pontos); 101

2. G ERADOR DE O NDA Q UADRADA P ROGRAMVEL

Aprimore a Sada de Dados para visualizar a opo de frequncia e tambm a frequncia (em Hz) do sinal de sada (+3 pontos);

ON/OFF

ON Gerador de Onda Quadrada OFF Sinal

Sel. Freq.

Ref. Displays Figura 2.1: Diagrama simplicado do gerador de sinais.

102

PROJETO

T ESTADOR
3.1 Projeto Bsico

DE

CI S

Neste projeto, ser realizado um testador de CIs, com as seguintes caractersticas: CIs testveis: aceita quatro membros de CIs: 00 (NAND), 08 (AND), 32 (OR) e 86 (XOR); Entrada de Dados: uma tecla TESTA, para iniciar o teste; seleo para indicar qual tipo de CI est sob teste, conforme a Figura 3.1 Sada de Dados: dois displays, indicando qual CI est sendo testado; dois LEDs: vermelho (rejeitado) e verde (aprovado). O LED verde s acende se as todas as quatro portas do CI sob teste funcionarem adequadamente. A funcionalidade do circuito ser confrontada com o resultado proveniente do testador de CIs microprocessado disponvel no laboratrio.

Testa

Aprovado Rejeitado Displays

Seleo

Figura 3.1: Esquema simplicado do testador de CIs.

103

3. T ESTADOR DE CI S

3.2

Desaos Adicionais

Aprimore o projeto para detectar qual CI est sendo testado; o resultado deve ser visualizado no display. Especique claramente qual o resultado a ser mostrado no display se a deteco no for conclusiva. Note que no h mais chaves na entrada para indicar qual o CI sob teste (+2 pontos); Aprimore o projeto para indicar quantas e quais das quatro portas esto com defeito (+3 pontos);

104

C ONTROLE
4.1 Introduo

DE

M OTOR

DE

PASSO

Os motores eltricos so dispositivos eletromecnicos que transformam energia eltrica em movimento rotativo por meio de ms e indutores em seu interior. Atualmente existem vrios modelos diferentes de motores eltricos, os mais difundidos so os motores de corrente contnua (CC ou DC), os de corrente alternada (CA ou AC), os servomotores e os motores de passo. A escolha de cada um deles depende da aplicao e do custo envolvido. Nas aplicaes onde necessrio um deslocamento angular ou linear para posicionar com preciso determinados componentes o motor de passo o mais recomendado. No entanto, possvel utilizar qualquer outro tipo de motor para executar este tipo de tarefa, porm difcil determinar a posio exata do componente ou pea do mecanismo, a menos que se utilizem sensores de posio, codicadores, servos e sistemas de comando, o que torna o projeto mais complexo e, muitas vezes, mais caro. O motor de passo converte pulsos em deslocamentos angulares precisos. A cada pulso, o eixo do motor se desloca por um ngulo preciso (passo) e permanece estvel nessa posio se mais nenhum pulso for enviado. Aplicando uma srie de pulsos, pode-se obter-se o deslocamento angular desejado. Se a srie de pulsos no terminar, o eixo vai continuar girando constantemente com uma velocidade proporcional frequncia dos pulsos. Os motores de passo so muito utilizados nos perifricos para os computadores (scanners, discos rgidos, impressoras, etc), bem como nas cameras de vdeo, robtica, etc. No seu interior h estatores formados por bobinas que geram, quando percorridos por uma corrente eltrica, o campo magntico necessrio para o movimento do rotor, que construdo com ms permanentes confeccionados de acordo com o nmero de passos. Essa rotao controlada por meio de um circuito externo que pro105

PROJET

4. C ONTROLE DE M OTOR DE PASSO

move a oscilao do sinal que percorrer os pares de estatores e, por isso, no pode ser conectado diretamente alimentao, pois desse modo no haveria a pulsao necessria para que o motor possa girar. Em outras palavras, os enrolamentos dentro do motor devem ser energizados e desenergizados em uma sequncia especca para produzir movimento em passos discretos. Os sinais digitais so normalmente usados para controlar a corrente em cada enrolamento do motor.

Figura 4.1: Ilustrao do acionamento das bobinas de um motor de passo. Como a maioria dos CIs digitais CMOS e TTL, e at mesmo os microcontroladores atuais, no possuem capacidade de fornecer correntes elevadas necessrio um driver de potncia para fazer o interfaceamento entre as sadas digitais e os circuitos indutivos do motor. Sem esse driver de potncia, mesmo que os pulsos digitais sejam aplicados corretamente ao motor ele no ir funcionar, por no ter energia suciente para girar o seu eixo.

4.2 Projeto Bsico


Considerando as informaes expostas acima, projete um sistema digital que realize o controle e acionamento de um motor de passo. O circuito projetado dever permitir que o motor gire nos dois sentidos (horrio e anti-horrio) conforme uma chave seletora S, ou seja, quando S = 0 o motor dever girar no sentido horrio, mas quando S = 1, o motor dever girar no sentido anti-horrio. Alm disso, o circuito projetado dever permitir que o usurio selecione duas diferentes velocidades, 600 RPM ou 3000 RPM, de acordo com a chave V, ou seja, quando V = 0, a velocidade dever ser de 600 RPM, mas quando V = 1 a velocidade dever ser de 3000 RPM. Considere em seu projeto que um sinal de relgio externo de 100 Hz deve ser usado para gerar os sinais internos de controle do circuito. Informaes extras: 106

4.3. Desao Adicional

1. Utilize apenas CIs TTL ou CMOS em seu projeto; 2. O motor de passo a ser utilizado na parte experimental estar disponvel no laboratrio com os tcnicos. Para utiliz-lo o aluno dever agendar previamente um horrio e no poder lev-lo para casa em hiptese nenhuma. 3. O motor de passo disponvel no laboratrio j possui um driver de potncia para seu acionamento. Portanto, o aluno no precisar projetar o driver de acionamento. 4. de responsabilidade do aluno levantar experimentalmente a seqencia correta de pulsos para acionamento do motor de passo. Para isso, dever ser elaborado um procedimento experimental detalhado antes de ir ao laboratrio. Um monitor dever estar presente acompanhando todos os passos. A tenso mxima de alimentao do driver/motor de 5V e os alunos devero tomar muito cuidado nas montagens para no exceder esse valor. Qualquer dvida na montagem/utilizao dever ser consultado o professor/monitor/tcnico. 5. O relatrio nal dever conter o procedimento experimental elaborado para determinao da seqencia de pulsos correta para o acionamento do motor de passo e tambm os resultados obtidos.

4.3

Desao Adicional

Adicione ao seu projeto um circuito que permita que o eixo do motor pare precisamente em uma determinada posio angular especicada pelo usurio de acordo com duas chaves seletoras adicionais A e B, conforme mostrado na tabela abaixo (+2 pontos): Tabela 4.1: Condio do eixo do motor de passo de acordo com as chaves A e B. A 0 0 1 1 B 0 1 0 1 Condio do Eixo do motor Gira livremente (velocidade de rotao denida pelo usurio) 90 180 270

107

F REQENCMETRO
5.1 Introduo
Um freqencmetro um circuito que pode medir e mostrar a freqncia de um sinal [TWM07]. A medida da freqncia de um sinal digital peridico pode ser feita de duas formas diferentes [SM11b]. O primeiro mtodo conhecido como mtodo da contagem do relgio e consiste na contagem do nmero de ciclos de relgio do sistema existente em um perodo do sinal de entrada. O conceito deste mtodo pode ser visualizado na Figura 5.1.

Figura 5.1: Mtodo da contagem do relgio. Figura extrada de [SM11b]. Neste mtodo, a medida da freqncia do sinal obtida indiretamente. Assim, preciso utilizar a seguinte relao:
f ent =

freqncia do sinal de relgio do sistema contagem de ciclos

P
109

ROJETO

(5.1)

O segundo mtodo conhecido como mtodo da contagem do sinal de entrada e envolve a contagem do nmero de ciclos do sinal de entrada ou do nmero de bordas de subida que ocorrem durante uma janela de durao pr-estabelecida,

5. F REQENCMETRO

e apresenta diretamente a freqncia do sinal. A Figura 5.2 ilustra os conceitos envolvidos. Se for utilizada uma janela de tempo com durao exata de 1 segundo, a contagem de ciclos numericamente igual freqncia do sinal de entrada em Hertz.
f ent =

contagem de ciclos durao da janela

(5.2)

Figura 5.2: Mtodo da contagem do sinal de entrada. Figura extrada de [SM11b]. Contudo esta estratgia de obteno da freqncia apresenta alguns erros inerentes ao mtodo de contagem. Erro de preciso do sinal de relgio do sistema: Se a freqncia do relgio do sistema no for estvel, isto far com que a janela de tempo em que o sinal de entrada analisado seja afetada. Isto pode levar a uma contagem nal diferente do valor correto [SM11b]. Erro de sincronizao: Ocorre do fato do incio da janela no coincidir com uma borda de subida do sinal de entrada. Assim, se a contagem levar em conta a ocorrncia de bordas do sinal de entrada, o valor nal pode no ser igual ao nmero de perodos contidos na janela de tempo [SM11b]. Erro de quantizao: Ocorre devido ao fato da janela de tempo ser nita [SM11b].

5.2

Projeto Bsico

Levando em considerao as informaes expostas acima, projete um circuito medidor de freqncias de um sinal digital peridico de 1 a 99 Hz com preciso de duas casas decimais. O valor da freqncia medida deve ser mostrado em displays de sete segmentos. O sinal de entrada deve ser originado a partir de um gerador de pulsos e monitorado atravs do osciloscpio. Um sinal de relgio externo de 8 Hz deve ser usado para gerar os sinais internos de controle do circuito. A preciso dos resultados obtidos deve ser independente do operador do circuito. 110

5.3. Desao Adicional

5.3 Desao Adicional


Apresente nos displays, alm da frequncia, o perodo da onda (em milissegundos) (+2 pontos).

111

V ERIFICADOR
6.1 Introduo

DE

S ENHAS

O circuito do vericador de senhas 1 a ser projetado um circuito simplicado de um sistema completo para uso em segurana de bens ou valores. Pode ser usado para controlar o acesso a depsitos de valores ou documentos sigilosos. O mecanismo de acesso baseado na vericao de uma combinao de dgitos de segurana.

6.2 Projeto Bsico


O circuito deve possuir dois modos de operao: o Modo de Congurao e o Modo de Segurana. Estes modos so selecionados atravs de uma chave no painel de controle. As outras chaves do painel somente cam ativas enquanto o sistema no estiver bloqueado. No Modo de Congurao feita a programao da combinao de abertura do circuito que consta de 3 dgitos. Cada dgito selecionado a partir de um total de 4 chaves de entrada. Esta combinao deve car armazenada na memria do sistema. No Modo de Segurana inserido um cdigo, tambm constitudo de 3 dgitos. Aps a entrada deste cdigo, caso haja coincidncia com a senha de abertura programada anteriormente, um sinal deve ser enviado ao controle da trava para este ser aberto. Este sinal ser representado atravs de um LED que deve permanecer aceso enquanto a trava no for aberta.
1 Proposta

de projeto e texto extrado de [SM06b]

113

ROJETO

6. V ERIFICADOR DE S ENHAS

A entrada das teclas que compem a combinao deve ser feita seqencialmente. O pressionamento de uma tecla simulado atravs da ativao de uma chave. A chave deve ser desativada para que uma outra tecla seja posteriormente ativada (mesmo que seja o mesmo cdigo). Aps a mudana do modo de operao do circuito, deve-se prever uma reinicializao do sistema (RESET). Isto permite que, no modo de congurao, caso seja efetuado o cadastramento de uma senha invlida, seja possvel o recadastramento da senha com o acionamento do sinal de RESET. Por outro lado, no caso de acionamento de um dgito invlido no modo de segurana, o usurio pode corrigir a entrada da senha correta, acionando-se o RESET. Isto s ser possvel durante a entrada dos dois primeiros dgitos da senha, pois o circuito deve bloquear seu funcionamento aps a entrada do terceiro dgito e se a senha no for correta. Ou seja, caso o usurio no fornecer a senha correta, o circuito deve bloquear seu funcionamento e no responder a nenhum acionamento de qualquer tecla ou boto (p.ex. RESET, etc).

6.2.1 Detalhamento do vericador de senhas


O aluno tem total liberdade para projetar o circuito da maneira que achar mais conveniente. No entanto, para auxiliar, a Figura 6.1 apresenta o diagrama de blocos com um projeto bsico do vericador de senhas, onde os blocos envolvidos so: CODIFICADOR: codica cada chave de entrada selecionada em um cdigo binrio de 2 bits, que indica a chave selecionada; MEMRIA: armazena a senha cadastrada no Modo de Congurao; CONTADOR: fornece o endereo no qual o cdigo da tecla dever ser armazenado ou lido da memria; COMPARADOR: compara cada um dos cdigos inseridos no Modo de Segurana com o cdigo correspondente previamente armazenado na memria; UNIDADE DE CONTROLE: controla o funcionamento do circuito do vericador de senhas nos Modos de Congurao e de Segurana. Os sinais do circuito so os apresentados abaixo, classicados como sinais de entrada, sinais de sada e sinal de controle interno. 1. Sinais de Entrada: TECLAS (CH0 a CH3) - chaves que correspondem s teclas de senha; CONFIG /SEGURANA (CH7) - seleciona o modo de operao do circuito (0 = modo de congurao e 1 = modo de segurana); RESET (B1) - inicializao do sistema em cada um dos modos de operao (pulso em nvel alto); CLOCK - sinal do gerador externo para sincronismo do controle. 114

6.3. Desao Adicional

2. Sinais de Sada: MODO (LED 0) - indica o modo de operao do circuito (0 = modo de segurana e 1 = modo de congurao); ABRE (LED 1) - quando em nvel "zero indica a abertura do circuito; TRAVADO (LED 2) - indica que o circuito est travado/bloqueado. 3. Sinais de Controle Internos: TECLA ACIONADA - sinal auxiliar que indica a seleo de uma chave de entrada; CDIGO - cdigo binrio de 2 bits da chave selecionada; CONTA - sinal de clock do contador para varredura dos endereos da memria; ZERA - sinal para zerar o valor do contador para varredura dos endereos da memria; COMPARAO - sada do comparador indicando igualdade ou no entre o cdigo cadastrado e o cdigo inserido; SINAIS DE CONTROLE DA MEMRIA - utilizados para controle de operaes de leitura e gravao de dados na memria.

6.3 Desao Adicional


Altere o projeto para aceitar at trs tentativas de senha errada (a quarta vez deve necessariamente ser a senha correta), ou o seja, o circuito somente bloqueia o funcionamento na se a terceira tentativa de insero de senha falhar. Um display deve apresentar o nmero de tentativas restantes (+ 2 pontos).

115

6. V ERIFICADOR DE S ENHAS

Figura 6.1: Diagrama de Blocos do Vericador de Senhas. Figura extrada de [SM06b].

116

B IBLIOGRAFIA
[dSS05] Alexandre da Silva Simes. Experimento 03: Projeto e simulao de decodicador para display - Apostila de Laboratrio de Circuitos Digitais 1. Universidade Estadual Paulista - Campus de Sorocaba, 2005. Ricardo Tokio Higuti. Apostila de Circuitos Digitais I - Experincia 3 Multiplexadores e Decodicadores. Universidade Estadual Paulista Jlio de Mesquita Filho - Campus Ilha Solteira - Departamento de Engenharia Eltrica. Ivan Valeije Idoeta and Francisco Gabriel Capuano. Elementos de Eletrnica Digital. rica, 40 edition, 2007.

[Hig]

[IC07]

[SM06a] Edison Spina and Edson T. Midorikawa. Multiplexao de Displays Apostila do Curso de Laboratrio de Sistemas Digitais da USP (EPUSP). Escola Politcnica da USP - Departamento de Engenharia de Computao e Sistemas Digitais, So Paulo, 2006. [SM06b] Edison Spina and Edson T. Midorikawa. Vericador de Senhas - Apostila do Curso de Laboratrio de Sistemas Digitais da USP (EPUSP). Escola Politcnica da USP - Departamento de Engenharia de Computao e Sistemas Digitais, Escola Politcnica da USP - Departamento de Engenharia de Computao e Sistemas Digitais, So Paulo, 2006. [SM11a] Edison Spina and Edson T. Midorikawa. Caracterizao de Portas Lgicas - Apostila do Curso de Laboratrio de Sistemas Digitais da USP (EPUSP). Escola Politcnica da USP - Departamento de Engenharia de Computao e Sistemas Digitais, So Paulo, 2011. [SM11b] Edison Spina and Edson T. Midorikawa. Frequencmetro - Apostila do Curso de Laboratrio de Sistemas Digitais da USP (EPUSP). Escola Politcnica da USP - Departamento de Engenharia de Computao e Sistemas Digitais, Escola Politcnica da USP - Departamento de Engenharia de Computao e Sistemas Digitais, So Paulo, 2011. [SS07] Adel S. Sedra and Kenneth C. Smith. Microeletrnica. Pearson Prentice Hall, 5 edition, 2007.

[TWM07] Ronald J. Tocci, Neal S. Widmer, and Gregory L. Moss. Sistemas Digitais - Princpios e Aplicaes. Prentice-Hall, 10 edition, 2007. 117

B IBLIOGRAFIA

[Uye02]

John P. Uyemura. Sistemas Digitais - Uma abordagem integrada. Pioneira Thomson Learning, 1 edition, 2002.

118

Parte IV Anexos

119

P INAGEM

DE

CI S 74 XXX

As pinagens a seguir foram extradas do manual Pocket Data Book da Texas Instruments. Este apndice no substitui a necessidade de o aluno ter o seu prprio conjunto de manuais, onde h especicao detalhada das caractersticas estticas e dinmicas dos CIs.

121

NEXO

A. P INAGEM DE CI S 74 XXX

122

123

A. P INAGEM DE CI S 74 XXX

124

125

A. P INAGEM DE CI S 74 XXX

126

127

A. P INAGEM DE CI S 74 XXX

128

129

A. P INAGEM DE CI S 74 XXX

130

131

A. P INAGEM DE CI S 74 XXX

132

133

D EPURANDO C IRCUITOS
B.1 Consideraes Gerais
Quando o circuito no funciona como esperado, o motivo pode ser dividido em trs grupos no exclusivos: Erro de projeto. Erro na montagem. Falha nos componentes. Analisaremos caso a caso cada um dos grupos.

B.1.1 Erro de projeto


Este erro pode ser evitado se o projeto for testado em um simulador de circuitos digitais (como o Circuit Maker ou Proteus). O erro de projeto difcil de encontrar e ser corrigido em tempo de sala - especialmente se o circuito for complexo. Por este motivo que enfatizamos o trabalho prvio e o teste nos simuladores. No v para a prtica sem testar antes o seu projeto. Para fazer um bom projeto, atente para: As especicaes: tenha a certeza de que o seu projeto atende ao que foi pedido. O entendimento do problema, portanto, essencial. Deixar claro quais so as entradas e sadas do circuito. Esta regra vale tambm para cada bloco funcional do projeto. Com esta informao, possvel fazer um diagrama de blocos claro e objetivo, que pode ser usado como parte da documentao do relatrio. 135

AN

EXO

B. D EPURANDO C IRCUITOS

Deixar claro qual funcionamento do projeto no caso de especicaes imprecisas ou ambguas.

B.1.2 Erro na montagem


O erro na montagem provm essencialmente de dois elementos: Documentao inadequada: falta de diagrama esquemtico, tabelas de conexo erradas, pinagem errada. Desateno ou distrao: conexes feitas de maneira errada, desorganizao ao conferir as ligaes, trechos do circuito em curto, entradas em aberto, etc. Listamos aqui, de maneira no exaustiva, alguns erros comuns na montagem dos circuitos. Fonte de alimentao desligada ou desconectada da protoboard; Chaves com terminais em curto ou em aberto; LEDs polarizados incorretamente; CIs sem as conexes de GND e/ou VCC ; Subsees da protoboard sem jumpers (tipicamente nas trilhas de alimentao e terra); Identicao errada do CI; Fios de conexo quebrados; CI invertido (de cabea para baixo).

B.1.3 Falha nos componentes


possvel que um ou mais componentes (CIs, LEDs, chaves, etc.) apresentem falha de operao, incluindo a protoboard. Porm este casos so relativamente raros, No culpe em primeiro momento o componente; muito provavelmente a falha humana.

B.2

O Processo de Depurao

No h nada difcil em depurar um circuito. Porm, so necessrios uma boa dose de raciocnio lgico e de organizao, qualidades importantes em qualquer prossional de engenharia. O processo como um todo pode levar um bom tempo (s vezes horas) e ser muito frustrante caso o erro no seja encontrado. Como hiptese de trabalho, o circuito no pode ter erros de projeto (ou seja, funcionou perfeitamente no simulador). Como regras gerais: 136

B.2. O Processo de Depurao

V montando e testando. Muitos alunos montam todo o circuito para depois testar. Em circuitos complexos, isto torna o processo de depurao muito trabalhoso. Neste caso, voc estar comeando a montagem e inspeo pelas entradas, indo em direo sada. No caso de montar tudo para depois depurar, pode ser mais rpido comear da sada: identique o problema (ou seja, bit de sada errado), e comece a procurar a fonte imediata do problema: cheque o LED, a sada do CI, e eventualmente entradas do chip que geram as sadas incorretas. Se o problema ainda persistir, verique o CI que produziu as entradas incorretas, at encontrar o ponto de falha. Regra do mudou, testou. Aps a realizar uma alterao no circuito, teste novamente o funcionamento do bloco que acabou de ser depurado. Anote o que foi alterado (voc pode esquecer que j fez), ou seja, documente os procedimentos executados. Cone no multmetro. No que olhando se o LED est aceso ou no para saber se o circuito funciona ou no. No processo de depurao, utilize intensivamente o multmetro para vericar o estado de cada sinal. Ao usar o multmetro, encoste sempre a ponta de prova no pino do CI, e no nos furos da protoboard. Com isso, consegue-se detectar problemas como trilhas com defeitos ou pinos mal encaixados. Verique as falhas bvias: conexo fonte, trilhas de alimentao e terra, polarizao dos LEDs. Isto deve ser rpido. Uma boa parte dos problemas est ligada a conexes erradas de VCC e GND. Teste cada bloco funcional individualmente, depois em conjunto. Neste ponto, importante ter em mente a diviso do projeto em blocos funcionais, com as entradas e sadas de cada bloco bem explicitadas. Nesta etapa, verique se as sadas esto no nvel lgico esperado para cada combinao dos sinais de entrada. Tenses na zona proibida. Caso o CI apresente uma tenso em torno de 2.5 V, a sada est em nvel lgico indenido. As causas tpicas so: Falta de alimentao e/ou terra no CI; Conexo entre as sadas de duas portas lgicas diferentes. Neste caso, se no houvesse a conexo, uma das sadas estaria em nvel 0, enquanto a outra poderia estar em 1. Como esto conectadas, h uma inconsistncia de valores; Sinal de enable desabilitado; CI com defeito. Se possvel, use outra porta - por exemplo, a 00 tem 4 portas NAND. A escolha comum usar os pinos 1, 2 e 3. Experimente 4, 5 e 6, ou simplesmente as portas do outro lado do CI. 137

B. D EPURANDO C IRCUITOS

Verique a compatibilidade entre CIs. Nem sempre possvel conectar CIs de famlias diferentes (exemplo: de TTL para HC). Para os experimentos em sala de laboratrio, prera sempre usar CIs da mesma famlia.

B.2.1 Boas prticas de montagem e uso da protoboard


Use cabos vermelhos para alimentao e pretos para terra. Planeje a disposio previamente, de modo a minimizar as conexes longas e deixe um espao razovel para inserir as chaves. Uma boa montagem permite identicar de maneira rpida os sinais de entrada e sada. Se possvel, use cores chamativas para identicar sinais crticos. Use seu prprio cdigo, mas seja consistente. Em geral, as medies de tenso so entre um pino do CI e o terra. Deixe a ponta de prova preta do multmetro conectada ao borne da protoboard e manuseie apenas a ponta de prova vermelha - muito mais prtico. Evite os muito curtos (pois podem escapar), mas principalmente os longos (isto , prera as folgas mais curtas). Fios longos deixam a montagem mais confusa e suscetvel a desconexes ao manusear as ligaes, pois comum esbarrar nos os. No cruze os os por cima do CI, pois se precisar substituir o chip ca mais difcil.

138

Você também pode gostar