Você está na página 1de 38

UNIVERSIDADE FEDERAL DO PAMPA

Victor Matheus Lima

PROJETO DE UM ADC SIGMA-DELTA EM BAIXA TENSÃO PARA FAIXA DE


ÁUDIO EM TECNOLOGIA CMOS

Alegrete
2023
Victor Matheus Lima

PROJETO DE UM ADC SIGMA-DELTA EM BAIXA TENSÃO PARA FAIXA DE


ÁUDIO EM TECNOLOGIA CMOS

Projeto de Trabalho de Conclusão de Curso


apresentado ao curso de Bacharelado em
Engenharia Elétrica como requisito parcial
para a obtenção do grau de Bacharel em
Engenharia Elétrica.

Orientador: Prof. Dr. Paulo César C. Aguirre

Alegrete
2023
RESUMO

Palavras chave: Sigma-Delta. ADC. Conversor Analógico-digital, Sobreamostragem, Noise-


shaping.
ABSTRACT

Keywords: Conversor Analógico-Digital, Sigma-Delta, Oversampling, Noise shaping.


LISTA DE ILUSTRAÇÕES
Figura 1 – Diagrama abstrativo de um SDM. . . . . . . . . . . . . . . . . . . . . . 7
Figura 2 – Sinal em tempo contı́nuo à esquerda e sinal amostrado resultante à
direita. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
Figura 3 – Espectro de frequência do sinal amostrado. a) A taxa de Nyquist; b)
Sobreamostrado. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
Figura 4 – Taxa de amostragem e resolução das principais arquiteturas de conver-
sores analógico-digitais. . . . . . . . . . . . . . . . . . . . . . . . . . . 11
Figura 5 – Método de quantização. a) Quantização uniforme; b) Erro do quantizador. 12
Figura 6 – Modelo linear de um quantizador. . . . . . . . . . . . . . . . . . . . . . 12
Figura 7 – Ruı́do de quantização. a) Função densidade de probabilidade; b) Densi-
dade espectral de potência. . . . . . . . . . . . . . . . . . . . . . . . . 13
Figura 8 – Densidade espectral de potência do ruı́do de quantização em ADC
amostrado em taxa de Nyquist e sobre amostrado . . . . . . . . . . . . 14
Figura 9 – Modulador Sigma-Delta modelo conceitual. . . . . . . . . . . . . . . . 14
Figura 10 – Modelagem do ruı́do na banda de interesse para um ADC sobreamostrado. 15
Figura 11 – Espectro de saı́da tı́pico de um modulador. . . . . . . . . . . . . . . . . 16
Figura 12 – Exemplo de gráfico de SNR em relação à potência de entrada. . . . . . 17
Figura 13 – Modelo de blocos modulador primeira ordem. . . . . . . . . . . . . . . 18
Figura 14 – Modelagem de ruı́do de quantização para: (a) sinais LP e (b) BP . . . 19
Figura 15 – Modelagem de ruı́do para moduladores Σ∆ de diferentes ordens. . . . . 20
Figura 16 – Diagrama de blocos de um modulador Σ∆ de primeira ordem e sua
implementação de circuito SC totalmente diferencial com quantizador
de 1-bit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
Figura 17 – Sinais de entrada e saı́da de um modulador Σ∆ de primeira ordem com
quantizador de 1-bit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
Figura 18 – Fluxo de projeto para Delta-Sigma Toolbox. . . . . . . . . . . . . . . . 22
Figura 19 – Sinais de entrada e saı́da do modulador sigma-delta ao nı́vel de sistemas. 24
Figura 20 – Modelo Simulink modulador ideal de segunda ordem topologia CIFB. . 26
Figura 21 – Densidade espectral de potência. . . . . . . . . . . . . . . . . . . . . . 27
Figura 22 – Sinais de entrada e saı́da modulador sigma delta. . . . . . . . . . . . . 28
Figura 23 – Diagrama de polos e zero da NTF do modulador. . . . . . . . . . . . . 29
Figura 24 – Relação sinal-ruı́do para o modulador. . . . . . . . . . . . . . . . . . . 29
Figura 25 – Pico da relação sinal-ruı́do . . . . . . . . . . . . . . . . . . . . . . . . . 30
Figura 26 – Densidade espectral de potência. . . . . . . . . . . . . . . . . . . . . . 31
Figura 27 – Implementação de um amplificador totalmente diferencial. . . . . . . . 32
Figura 28 – Esquemático do modulador. . . . . . . . . . . . . . . . . . . . . . . . . 35
LISTA DE TABELAS
Tabela 1 – Parâmetros de Projeto do modulador. . . . . . . . . . . . . . . . . . . 23
Tabela 2 – Coeficientes para a topologia CIFB . . . . . . . . . . . . . . . . . . . . 25
Tabela 3 – Resultados modulador em alto nı́vel. . . . . . . . . . . . . . . . . . . . 31
Tabela 4 – Cronograma de atividades . . . . . . . . . . . . . . . . . . . . . . . . . 32
.
SUMÁRIO

1 INTRODUÇÃO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
1.1 Motivação . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.2 Objetivos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.2.1 Objetivos Gerais . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.2.2 Objetivos Especı́ficos . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.3 Organização do Trabalho . . . . . . . . . . . . . . . . . . . . . . . . . . 8

2 CONVERSÃO ANALÓGICO-DIGITAL . . . . . . . . . . . . . . . . 9
2.1 Amostragem e Quantização . . . . . . . . . . . . . . . . . . . . . . . . 9
2.1.1 Amostragem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9
2.1.2 Quantização . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
2.2 Modulação Sigma-Delta . . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.2.1 Modelagem do ruı́do sobreamostrado — Noise Shaping . . . . . . . . . 15
2.2.2 Métricas de Desempenho . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.2.2.1 Relação Sinal-Ruı́do (SNR) . . . . . . . . . . . . . . . . . . . . . . . . . . 16
2.2.2.2 Faixa Dinâmica (DR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
2.2.2.3 Faixa Dinâmica Livre de Espúrios (SFDR) . . . . . . . . . . . . . . . . . 16
2.2.2.4 Relação sinal-ruı́do + distorção (SNDR) . . . . . . . . . . . . . . . . . . 16
2.2.2.5 Número efetivo de Bits (ENOB) . . . . . . . . . . . . . . . . . . . . . . . 17
2.2.2.6 Nı́vel de sobrecarga-OL . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
2.3 Modulador Sigma-delta de primeira ordem . . . . . . . . . . . . . . . . 18

3 PROJETO E AVALIAÇÃO DO MODULADOR EM MATLAB/SIMU-


LINK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
3.1 Projeto do Modulador em tempo discreto. . . . . . . . . . . . . . . . . 23

4 RESULTADOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

5 CRONOGRAMA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

6 CONCLUSÕES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

REFERÊNCIAS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
7

1 INTRODUÇÃO
No âmbito do processamento de sinais, uma das funções de maior relevância é
atribuı́da aos Conversores Analógico-Digitais (ADC’s) (ALLEN; HOLBERG, 2002). Esse
destaque se justifica pelo fato de que a maioria das operações de processamento de sinais
é executada de forma digital, pelos chamados DSPs, do inglês Digital Signal Processor,
processadores de sinais digitais. Entre as diversas topologias de ADCs disponı́veis na
literatura, os ADCs Sigma-Delta se sobressaem devido à sua rapidez de conversão, elevada
resolução e reduzido nı́vel de ruı́do, tornando-os particularmente adequados para aplicações
que demandam alta precisão.
Desenvolvidos desde a década de 60 (INOSE; YASUDA; MURAKAMI, 1962), os
moduladores Σ∆ (SDMs), usados em ADC’s Sigma-Delta, são compostos fundamental-
mente por um Filtro Anti-Alias (AAF), um modulador Sigma-Delta e um filtro decimador
na saı́da do conversor. A figura 1 apresenta um diagrama abstrativo de um modelo genérico
de modulador Σ∆.

Figura 1 – Diagrama abstrativo de um SDM.

Fonte: Modificado de (ROSA, 2013)

Devido às caracterı́sticas dos sinais analógicos, que podem ser representados por
grandezas fı́sicas como tensão e corrente, onde essas grandezas variam continuamente em
resposta a mudanças nas condições fı́sicas ou ambientais, deve se aplicar um método de
discretização para obter esses dados de forma digital. Para obtenção de tais dados analógicos,
o emprego de ADCs do tipo Σ∆ em sistemas de aquisição de dados e instrumentação
abrange uma gama de aplicações, assim como equipamentos médicos, sistemas de áudio de
alta qualidade e sensores de alta precisão.
O uso de tais conversores tem larga utilização na composição sistemas de rádio
frequência, como telefonia móvel e eletrônicos em geral, onde a transmissão de dados sem
fio deve contemplar requisitos de baixo consumo de energia e largura de banda do sinal de
entrada. O projeto de ADCs do tipo Σ∆ em tecnologia CMOS nanométrica tem como
tônica também contemplar os requisitos desejados para compôr sistemas denominados
System on Chip (SoC), além dos mais diversos dispositivos eletrônicos de uso industrial e
doméstico.
O projeto de SDMs tem a possibilidade de ter duas abordagens, em tempo discreto
(DT) e tempo contı́nuo (CT), uma vez que serão abordados neste documento a modelagem,
Capı́tulo 1. Introdução 8

o estudo e o projeto de um Modulador Sigma-Delta em tempo discreto operando em baixa


tensão e baixo consumo de energia em tecnologia CMOS 65 nm.

1.1 MOTIVAÇÃO

A motivação por trás desse trabalho tem como base estudar, analisar e projetar
ADCs do tipo Sigma-Delta para faixa de áudio em tempo discreto utilizando baixa tensão.
Os ADCs SDM são requisitados quando se deseja atender os requisitos de projetos que
necessitem de baixo consumo de energia e alta resolução, como sistemas de comunicação
sem fio bluetooth, sistemas de entretenimento doméstico, dispositivos de áudio portáteis e
processadores de áudio de alta fidelidade em tecnologia CMOS avançada.

1.2 OBJETIVOS

1.2.1 OBJETIVOS GERAIS

Desenvolver o projeto de um ADC Sigma-Delta a capacitor chaveado de 12 bits


para faixa de áudio e capacidade de operação em baixa tensão, 0,6 volts, com frequência
de amostragem de 6,144 MHz.

1.2.2 OBJETIVOS ESPECÍFICOS

• Estudo de moduladores sigma-delta em tempo discreto.

• Projetar um modulador sigma-delta ao nı́vel de sistemas utilizando uma ferramenta


computacional.

• Implementação dos OTAs ideais totalmente diferenciais empregando balun

• Projeto de um comparador dinâmico e chaves ideais em Verilog-A.

• Validação do modulador ao nı́vel elétrico.

1.3 ORGANIZAÇÃO DO TRABALHO

Este trabalho será organizado em 5 capı́tulos, onde no Capı́tulo 2 será dissertado


acerca da teoria de amostragem e da classificação dos conversores analógicos digitais. O
Capı́tulo 3 apresenta a metodologia de projeto de alto nı́vel do modulador e os modelos
em Verilog-A dos circuitos que compõem o modulador. O capı́tulo 4 serão explicitados os
resultados do modulador ao nı́vel elétrico, e por fim no capı́tulo 5 se dará a conclusão do
trabalho.
9

2 CONVERSÃO ANALÓGICO-DIGITAL
O foco principal deste capı́tulo é explicitar as bases por trás da teoria de amostra-
gem, conversão analógico-digital e as arquiteturas do modulador Σ∆. Para entender as
etapas e os blocos que realizam as funções que constituem os moduladores Sigma-Delta os
conceitos de amostragem no tempo e quantização em amplitude são abordados primeira-
mente, na sequência a modelagem de ruı́do e as métricas de desempenho necessárias para
caracterizar o modulador são listadas e definidas

2.1 AMOSTRAGEM E QUANTIZAÇÃO

2.1.1 AMOSTRAGEM

A amostragem de sinais é considerada uma das operações fundamentais no pro-


cessamento de sinais e na teoria de comunicação, na qual um sinal contı́nuo no tempo é
convertido em valores discretos em um intervalo de tempo fixo e pré-determinado. Um
amostrador ideal produz uma sequência de pulsos deltas de amplitude igual ao sinal de
entrada nos tempos de amostragem (MALOBERTI, 2007). Uma amostragem uniforme de
perı́odo T é relacionada com a saı́da do amostrador através da equação 2.1.

x∗ (t) = x∗ (ηT ) =
X
x(t)δ(t − ηT ) (2.1)

A figura 2 mostra um sinal contı́nuo no tempo e um sinal resultante amostrado por um


trem de pulsos conforme especificado pela equação 2.1.

Figura 2 – Sinal em tempo contı́nuo à esquerda e sinal amostrado resultante à direita.

Fonte: (MALOBERTI, 2007)

O teorema de amostragem de Nyquist, diz que para converter em digital um sinal


analógico, com espectro limitado β Hz, a frequência de amostragem (fs ) tem de ter o dobro
da componente máxima da frequência na banda de interesse fs ≥ 2fβ (LATHI, 2000).
A equação 2.2 define como fN a frequência de Nyquist.

fs ≥ fN = 2 × fβ (2.2)

Os conversores A/D possuem uma largura de banda (BW) finita de operação onde sinais
contı́nuos no tempo são convertidos em sinais discretos, satisfazendo a condição mı́nima
Capı́tulo 2. Conversão Analógico-Digital 10

determinada pelo teorema de Nyquist. A frequência de Nyquist, a largura de banda e a


frequência de amostragem de um sinal são relacionadas através da taxa de sobreamostragem,
(OSR) Oversampling Rate, conforme a equação 2.3. A taxa de sobreamostragem consiste
em amostrar o sinal de entrada a uma frequência maior que a frequência de Nyquist.
fs
OSR = (2.3)
2 × BW
Para uma frequência de amostragem muito maior que fN , conforme mostrado
nas figuras (3a.) e (3b.), as imagens criadas pelo processo de amostragem possuem maior
espaçamento no domı́nio da frequência do que a imagem de um sinal amostrado com fs
igual à fN .

Figura 3 – Espectro de frequência do sinal amostrado. a) A taxa de Nyquist; b) Sobrea-


mostrado.

(a) (b)
Fonte: (ROSA, 2013).

A taxa de sobreamostragem pode ser usada para classificar diferentes tipos de


conversores A/D. Os ADCs com 1,5 ≤ OSR ≤ 10 são popularmente denominados ADCs
do tipo Nyquist-rate, enquanto os ADCs com 10 ≤ OSR ≤ 512 são denominados ADCs
sobreamostrados.
Os ADCs que se encaixam no tipo Nyquist-rate podem ser citados Registrador
de aproximações sucessivas (SAR) e Flash, já os ADCs Sigma-Delta se encaixam no
tipo sobreamostrados (MALOBERTI, 2007), a figura 4 mostra a relação entre a taxa de
amostragem e a resolução das principais arquiteturas de conversores analógico-digitais.
Capı́tulo 2. Conversão Analógico-Digital 11

Figura 4 – Taxa de amostragem e resolução das principais arquiteturas de conversores


analógico-digitais.

Fonte: (CUBAS; GROVER, 2013)

2.1.2 QUANTIZAÇÃO

O processo de quantização é uma forma de discretização em amplitude, que faz a


ponte entre um sinal analógico discretizado no tempo e sua representação em amplitude
discreta. Esse processo é efetuado por um bloco denominado quantizador, mesmo quando
efetuado por um quantizador ideal é introduzido à saı́da erros inerentes ao processo,
intitulados erros de quantização. Complementarmente esse processo não pode ser revertido,
causando perdas da informação original e na resolução do sinal quantizado.
Um quantizador usa como base um valor de referência (YF S ) e o divide em escalas
onde serão discretizadas em amplitude um sinal analógico já anteriormente discreto no
tempo. A curva de transferência de um quantizador pode ser observada na figura 5(a), os
passos de quantização, onde os valores são representados no eixo das ordenadas interligados
via uma reta que cruza por zero. O erro de quantização eq(x) é uma função não linear do
sinal de entrada x e este é ilustrado na 5(b).
Capı́tulo 2. Conversão Analógico-Digital 12

Figura 5 – Método de quantização. a) Quantização uniforme; b) Erro do quantizador.

(a) (b)
Fonte:(ROSA, 2013)

A equação 2.4, define para um quantizador de N bits o passo de quantização ∆,


onde YF S representa a faixa de saı́da do fundo de escala, do inglês Full-scale (F S).

YF S
∆= N
(2.4)
2 −1
O modelo linear de um quantizador, representado na figura 6, introduz uma fonte
de ruı́do branco, onde o erro de quantização pode ser modelado, ao ser inserido juntamente
com o sinal de entrada.

Figura 6 – Modelo linear de um quantizador.

Para definir o erro de quantização, pode-se deliberar que este é a discrepância


entre o sinal de entrada e o sinal de saı́da, matematicamente este erro é recorrentemente
modelado como uma variável aleatória distribuı́da uniformemente e independente, cujos
valores abrangem o intervalo ±∆/2, onde ∆ é a diferença entre os nı́veis consecutivos de
quantização (JOHNS; MARTIN, 2008).
Essa modelagem vale para circunstâncias onde o sinal de entrada tem rápida
variação, e a amplitude não ultrapassa o fundo de escala, Full-scale (FS), correspondente a
±XF S/2. Pode-se observar que quando o sinal de entrada excede o valor do Full-scale, o
erro de quantização já não obedece o modelo uniforme ±∆/2, e o erro passa a exceder esse
valor e aumenta de forma monotônica à medida que a amplitude do sinal se afasta de F S.
Capı́tulo 2. Conversão Analógico-Digital 13

Essa consideração é de suma importância para a compreensão e avaliação precisa


do processo de quantização em cenários nos quais os sinais de entrada podem exceder os
limites de amplitude estipulados (ROSA, 2013).
Tendo em vista essas caracterı́sticas intrı́nsecas ao processo de quantização, esse
processo tratado como aleatório, com distribuição de probabilidade uniforme no intervalo
±∆/2, conforme a figura 7(a) apresenta a função de densidade de probabilidade do erro
de quantização, ρq (e), que descreve a probabilidade de ocorrência de eventos em um sinal
aleatório contı́nuo ou discreto, fornecendo informações sobre como os valores de um sinal
estão distribuı́dos e quais valores são prováveis de ocorrer.
A potência total do ruı́do de quantização, σ 2 (e), é igual a ∆2 /12 e é independente
da frequência de amostragem fs (JOHNS; MARTIN, 2008), sendo distribuı́da uniforme-
mente na faixa de ±fs /2.
A densidade espectral de potência do erro de quantização, SE (f ), é constante
q
com amplitude k = √∆12 f1s e está concentrada no intervalo de frequência ±fs /2, conforme
mostra a figura 7. Dessa forma, pode-se calcular SE (f ) pela equação 2.5.

Figura 7 – Ruı́do de quantização. a) Função densidade de probabilidade; b) Densidade


espectral de potência.

(a) (b)
Fonte: (ROSA, 2013)

σ 2 (e) ∆2
SE (f ) = = (2.5)
fs 12fs
Assim sendo, a potência de ruı́do de quantização na banda do sinal de interesse
PE pode ser calculada através da equação 2.6.
Z −BW
∆2
PE = SE (f ) df = (2.6)
BW 12 × OSR
.
Em um ADC do tipo Nyquist, no qual a frequência de amostragem é igual a duas
vezes a largura de banda do sinal, toda a potência associada ao ruı́do de quantização é
contida na banda de frequência do sinal, sendo transferida para a saı́da do ADC como
parte integrante do próprio sinal de entrada, como ilustrado na figura 8.
Capı́tulo 2. Conversão Analógico-Digital 14

Figura 8 – Densidade espectral de potência do ruı́do de quantização em ADC amostrado


em taxa de Nyquist e sobre amostrado

Fonte: (LIMA, 2023)

2.2 MODULAÇÃO SIGMA-DELTA

A modulação sigma-delta é baseada em sobreamostragem e no emprego de noise-


shaping para reduzir o ruı́do de quantização na banda de sinal. Assim, a essência de um
modulador Σ∆ é a incorporação de um quantizador de N bits num laço realimentado. A
arquitetura básica de um SDM, mostrada na figura 9, apresenta um sinal de entrada, x(n),
o qual é amostrado, e após processado pelo bloco H(z) e convertido em um valor digital
através do quantizador de N bits disponı́vel na saı́da y(n).
A operação básica consiste em comparar o sinal de entrada com uma estimativa
dos dados de saı́da e quantizar esta diferença. O sinal de feedback é obtido integrando a
saı́da DAC que é a estimativa analógica da saı́da digital. Esta estrutura é vantajosa para
sinais de sobreamostragem, uma vez que a amplitude do sinal de diferença é muito menor
que a do sinal de entrada. .

Figura 9 – Modulador Sigma-Delta modelo conceitual.


Capı́tulo 2. Conversão Analógico-Digital 15

2.2.1 MODELAGEM DO RUÍDO SOBREAMOSTRADO — NOISE SHAPING

Uma abordagem para aumentar ainda mais a precisão de um ADC sobreamostrado


é moldar o ruı́do branco de quantização, isto é, filtrá-lo de maneira que a maioria da
potência fique fora da banda do sinal. Pode ser observado através da figura 10 onde o ruı́do
de quantização é obtido conceitualmente subtraindo o sinal de entrada do quantizador,
após isso ele passa por uma função de transferência de filtro, geralmente chamada de
função de transferência de ruı́do, Noise-Transfer-Function (NTF) (ROSA, 2013).

Figura 10 – Modelagem do ruı́do na banda de interesse para um ADC sobreamostrado.

Fonte: (ROSA, 2013).

2.2.2 MÉTRICAS DE DESEMPENHO

Na literatura tradicional, existem inúmeras métricas para avaliar o desempenho de


um ADC, conforme me mostrado em (ROSA, 2013), (MALOBERTI, 2007) e (SCHREIER;
TEMES et al., 2005), tais métodos se concentram em analisar o espectro de saı́da quando
um sinal senoidal é aplicado a entrada do circuito, tipicamente medido usando métricas
de desempenho dinâmico, as quais são obtidas a partir da representação no domı́nio da
frequência da sequência de saı́da digital no domı́nio do tempo. Isto, portanto, requer o
cálculo da transformada rápida de Fourier (FFT) de uma sequência de saı́da de dimensão
finita com uma função de janelamento especı́fica (ROSA, 2013).
A figura 11 apresenta um gráfico tı́pico do espectro de saı́da dum modulador, onde podem
ser observadas as métricas de análise pertinente, como a faixa dinâmica livre de espúrios
(SFDR), o piso de ruı́do (noise floor), o pico de sinal e os harmônicos.
Capı́tulo 2. Conversão Analógico-Digital 16

Figura 11 – Espectro de saı́da tı́pico de um modulador.

(MALOBERTI, 2007)

2.2.2.1 RELAÇÃO SINAL-RUÍDO (SNR)

A relação sinal-ruı́do (SNR), do inglês Singal-to-noise-ratio, é a razão entre a


potência de saı́da na frequência de uma senoide de entrada e a potência de ruı́do na banda
não correlacionada expressa em decibéis, conforme a equação 2.7.
Pin
 
SN R(dB) = 10 log10 (2.7)
PE

2.2.2.2 FAIXA DINÂMICA (DR)

A faixa dinâmica, do inglês Dynamic Range, é a potência do sinal de entrada


na qual o SNR é 0 dB. Pode ser interpretado como a potência da entrada senoidal que
estimula um sinal de saı́da na frequência de entrada com potência igual à potência do
ruı́do. A equação 2.8 mostra como obter a faixa dinâmica de um conversor em decibéis
onde XF S /2 é o valor do Full-scale e Pq é a potência do ruı́do de quantização.

(XF S /2)2
" #
DR = 10log (2.8)
2Pq

2.2.2.3 FAIXA DINÂMICA LIVRE DE ESPÚRIOS (SFDR)

É definido como a razão entre a potência do sinal e a potência do componente


harmônico mais forte.

2.2.2.4 RELAÇÃO SINAL-RUÍDO + DISTORÇÃO (SNDR)

Este parâmetro também é conhecido como SINAD, do inglês Signal-to-Noise-and-


Distortion, sendo definido como a razão entre a potência do sinal e a soma das potências
dos componentes harmônicos na largura de banda do sinal mais a potência do ruı́do.
Capı́tulo 2. Conversão Analógico-Digital 17

2.2.2.5 NÚMERO EFETIVO DE BITS (ENOB)

Esta métrica é equivalente em número de bits do SNR ou SNDR, onde é dado pela
equação 2.9. Onde o ENOB representa a resolução necessária para um conversor Σ∆ para
atingir o mesmo DR que um conversor do tipo nyquist-rate, combinando adequadamente
oversampling (OSR) e modelagem de ruı́do para melhorar a precisão do quantizador.

SN R − 1.76
EN OB = (2.9)
6.02

2.2.2.6 NÍVEL DE SOBRECARGA-OL

O nı́vel de sobrecarga, do inglês Overload Level (OL), é referente ao máximo sinal


de entrada que um conversor pode receber sem haver distorção ou degradação significativa
em seu desempenho.
A figura 12 apresenta um gráfico ideal onde a relação sinal-ruı́do pela potência de entrada
de um conversor.

Figura 12 – Exemplo de gráfico de SNR em relação à potência de entrada.

Perdas
Lineares

Perdas de
sobrecarga
or
ad
ul
od

or
ad
M

ul
o
ld

od
ea

M
Id

o
ld
ce

a
an

Re
m

ce
r
fo

an
r
Pe

rm
rfo
Pe

Fonte: Adaptado de (MARIN, 2023)


Capı́tulo 2. Conversão Analógico-Digital 18

2.3 MODULADOR SIGMA-DELTA DE PRIMEIRA ORDEM

Sendo o modulador sigma delta a peça fundamental do conversor, a análise de um


modulador básico é de grande valia. Partindo de um integrador em tempo discreto, em
termos da transformada Z, representado pela equação 2.10, utilizado para modelar um
modulador sigma-delta de 1ª ordem, já a equação 2.11 implica em um integrador com
atraso, onde o modelo de blocos é mostrado na figura 13, tal modulador cuja função de
transferência é representada pela equação 2.12, onde existem duas entradas, U (z) e ϵQ , e a
saı́da V (z). Para o processo de quantização associada a um ADC de N bits tem se que ϵQ
é equivalente à adição de um erro de quantização no sistema.

1
H(z) = (2.10)
1 − z −1

z −1
H(z) = (2.11)
1 − z −1

Figura 13 – Modelo de blocos modulador primeira ordem.

Fonte: (SCHREIER; TEMES et al., 2005)

z −1
V (z) = (V (z) − U (z)) + ϵQ (2.12)
1 − z −1
A equação 2.12 que implica na equação 2.13, onde STF e NTF são, respectivamente,
a função de transferência do sinal e a função de transferência do ruı́do. Partindo dessas
expressões pode-se deduzir que a entrada é replicada na saı́da com atraso de um perı́odo
de clock, onde o sinal e o ruı́do de quantização são processados de forma diferente pelo
modulador, o sinal passa por ST F (z) e o ruı́do passa por N T F (z).

V (z) = ST F (z)U (z) + N T F (z)ϵQ (z) (2.13)

Chama-se de função de transferência do sinal (STF) a função de transferência da


entrada U (n) para a saı́da V (n), e a função de transferência da entrada ϵQ para V (n) de
função de transferência de ruı́do (NTF). O ruı́do de quantização para o modulador de
primeira ordem pode ser modelado por um filtro do tipo passa-alta, ou rejeita-faixa, como
representa a figura 14, para o caso de sinais do tipo passa-baixas onde os componentes
Capı́tulo 2. Conversão Analógico-Digital 19

de frequência na banda de interesse do erro de quantização podem ser atenuados por um


filtro diferenciador, com uma função de transferência de domı́nio Z dada pela equação 4.1,
onde L corresponde a ordem do filtro.

N T F (z) = (1 − Z −1 )L (2.14)

Figura 14 – Modelagem de ruı́do de quantização para: (a) sinais LP e (b) BP

Filtro Passa-Altas

Filtro Rejeita-Faixa

Fonte: Adaptado de (ROSA, 2011).

O erro de quantização pode ser modelado como uma fonte de ruı́do branco, onde
a potência do ruı́do é representada aproximadamente pela equação 2.15, essa equação
nos mostra que a potência do ruı́do de quantização diminui com o incremento da taxa
de sobreamostragem, OSR, em aproximadamente 6L dB/oitava a mais do que quando
atenuada apenas pela taxa de sobreamostragem, como na equação 2.6, logo é esta é uma
consequência direta da ação combinada de sobreamostragem e modelagem de ruı́do.
Z BW
∆2 ∆2 π 2L
PQ ≡ |N T F |2 df ≃ (2.15)
−BW 12fs 12 (2L + 1)OSR(2L+1)

A atenuação do ruı́do de quantização, que dependente da taxa de sobreamostragem e


da modelagem do ruı́do, também depende da ordem do modulador, como apresentado
na equação 4.1, pode ser observada através da figura 15, onde quanto maior a ordem do
modulador maior a atenuação.
A função de transferência apresentada na equação 2.13 pode ser implementada uti-
lizando circuitos de capacitores chaveados (SC), do inglês Switched capacitor, representado
na figura 16 este circuito combinado com um quantizador é utilizado para implementar
um modulador Σ∆ de primeira ordem, na figura podem ser observados o equivalente em
tempo discreto e ao nı́vel elétrico do integrador e do quantizador, sendo composto de um
comparador dinâmico de 1 bit e um DAC de capacitor chaveado de 1 bit também. Um
exemplo de sinais de entrada e saı́da do circuito de um modulador de primeira ordem
podem ser observados na figura 17, onde é aplicada uma onda senoidal a entrada do
circuito obtendo-se o sinal digital na saı́da.
Capı́tulo 2. Conversão Analógico-Digital 20

Figura 15 – Modelagem de ruı́do para moduladores Σ∆ de diferentes ordens.

Amostra Nyquist (1-bit)


Modulador ΣΔ Terceira-ordem

Modulador ΣΔ Segunda-ordem

Modulador ΣΔ Primeira-ordem

Sobreamostragem

Frequência

Fonte: Adaptado de (PARK, 1999).

Figura 16 – Diagrama de blocos de um modulador Σ∆ de primeira ordem e sua implemen-


tação de circuito SC totalmente diferencial com quantizador de 1-bit.

Filtro realimentado
(Integrador) Quan�zador

1-bit ADC
(Comparador)

1-bit (SC) DAC

Integrador SC

Fonte: Adaptado de (ROSA, 2011).


Capı́tulo 2. Conversão Analógico-Digital 21

Figura 17 – Sinais de entrada e saı́da de um modulador Σ∆ de primeira ordem com


quantizador de 1-bit.

0.5
Entrada, Saída

-0.5

-1

0 10 20 30 40 50 60 70 80 90 100
Número de amostras

Fonte: Autor.
22

3 PROJETO E AVALIAÇÃO DO MODULADOR EM MATLAB/SIMULINK


O enfoque deste capı́tulo está no projeto e definição dos parâmetros dos blocos
que compõe a arquitetura de um modulador Σ∆ de segunda ordem, como os coeficientes
de realimentação, especificações dos integradores e as relações de capacitâncias. O projeto
do modulador busca, por meio de uma ferramenta computacional, obter a maior relação
sinal-ruı́do para uma determinada amplitude de sinal na entrada. Os valores dos coeficientes
alvos a serem dimensionados são aqueles que forneçam o SNR máximo e ocupem a menor
área possı́vel. Ademais, as não-idealidades do primeiro integrador, a principal fonte de
erros, foram caracterizadas e especificadas a fim de não limitar o desempenho do sistema.
O uso de tal ferramenta tem como vantagem acelerar o tempo de simulação e
melhorar a dinâmica de projeto.
Uma estratégia a ser adotada para realização do projeto do ADC sigma-delta é a
utilização da ferramenta Delta-Sigma Toolbox para o software MATLAB (SCHREIER;
TEMES et al., 2005), essa ferramenta possuı́ um conjunto de funções onde é possı́vel
sintetizar caracterı́sticas dos moduladores como, função de transferência do ruı́do (NTF ),
simular o funcionamento do modulador, calcular a relação sinal-ruı́do (SNR) entre outras
funcionalidades. O fluxo de projeto para o sistema em alto nı́vel pode ser observado na
figura 18.

Figura 18 – Fluxo de projeto para Delta-Sigma Toolbox.

Especificações de projeto:
OSR, níveis de quantização, Design LCBP
Parâmetros para o
resposta em frequência modulador LCBP

SynthesizeNTF
Clans CalculateTF
scaleABCD

Especi?cação ABCD:
Avaliação: da stuffABCD Descrição
NTF e STF arquitetura do modulador
simulateDSM
simulateSNR
predictSNR mapABCD

Simulação no Conjunto
domínio do convexo
tempo: positivamente
Determinar invariante
SNR

Fonte: Autor.

Uma vez que o projeto ao nı́vel de sistema tenha atendido os requisitos especificados
pelo projetista, pode ser feita a conversão para o esquema elétrico, onde é configurada a
topologia com amplificadores, capacitores e demais componentes que o circuito requeira.
Os componentes mais complexos, em primeiro momento, podem ser implementados por
blocos ideais, programados em Verilog-A, para verificar o funcionamento do modulador
anteriormente projetado.
Capı́tulo 3. Projeto e Avaliação do Modulador em Matlab/Simulink 23

Tabela 1 – Parâmetros de Projeto do modulador.

Parâmetros Valor
Tensão de Alimentação 0.6 V
Ordem do Filtro 2
Frequência de Amostragem (FS) 6.144 MHz
Largura de Banda (BW) 24 kHz
Nı́veis de Quantização (Nlev) 2
Taxa de Sobreamostragem (OSR) 128

3.1 PROJETO DO MODULADOR EM TEMPO DISCRETO.

O projeto no modulador sigma-delta, tem como parâmetros iniciais os dados da


tabela 1, os quais especificados pelo projetista, onde tais valores são necessários para que
as funções utilizadas retornem os dados desejados.
A primeira função a ser utilizada que modela o comportamento do modulador nos
parâmetros de projeto especificados na tabela 1 é a função SynthesizeNTF, que depende
de parâmetros como a ordem do modulador (order ), taxa de sobreamostragem (OSR),
otimização de zeros (Opt), ganho máximo fora da banda da NTF (Hinf ), e a frequência
central do modulador (f0 ).

N T F = synthesizeNTF(order, OSR, opt, Hinf , f0 ); (3.1)

Uma vez que a função de transferência do ruı́do esteja realizada, a simulação dos
sinais de entrada e saı́da modulador sigma-delta podem ser obtidas através da função
simulateDSM, mostrado na equação 3.2 que tem como entrada um sinal senoidal u, dado
na forma vetorial, e a NTF.

[v,xn,xmax,y] = simulateDSM (u,N T F ); (3.2)

A figura 19 mostra os sinais de entrada e saı́da do modulador, obtido através da


função simulateDSM, além disso, através dessa função pode se obter a excursão do sinal
na saı́da de cada integrador separadamente.
Capı́tulo 3. Projeto e Avaliação do Modulador em Matlab/Simulink 24

Figura 19 – Sinais de entrada e saı́da do modulador sigma-delta ao nı́vel de sistemas.

Output
Input
1

0.5
Amplitude

-0.5

-1

0 1 2 3 4 5 6
N-Samples 10-5

Fonte: Autor (2023).

Através da função calculateSNR, apresentada na equação 3.3, é possı́vel estimar a


relação sinal-ruı́do (SNR), dados os bins na banda de sinal, para uma FFT com janelamento
de Hann com um sinal de entrada (f>0). Para nsig = 1, a frequência de entrada deve estar
contida em hwfft(f:f+2), tornando este intervalo apropriado para uma FFT com janela de
Hann.

snr = calculateSN R(hwf f t,f,nsig) (3.3)

Outro ponto de interesse ao projetista é análise da densidade espectral de potência (PSD),


obtida através da transformada rápida de Fourier do sinal de saı́da do modulador, o qual é
um dado de suma importância para análise de desempenho do modelo e esta representada
na figura 21.
Os dados obtidos dessa análise como, ENOB, SNR e SNDR quando satisfeitos
prossegue-se então para a modelagem da matriz de espaço de estados, utilizando a função
realizeNTF, dada na equação 3.4, onde tal converte a NTF em coeficientes de uma
determinada topologia, no caso a topologia CIFB, representada na figura 20, o modelo
utilizado no software Simulink.

[a,g,b,c] = realizeNTF(N T F, f orm) (3.4)

A matriz de dados, para a topologia CIFB, pode ser gerada a partir dos coeficientes
anteriormente calculados através da função stuffABCD representada na equação 3.5.

ABCD = stuffABCD(a,g,b,c,f orm) (3.5)


Capı́tulo 3. Projeto e Avaliação do Modulador em Matlab/Simulink 25

Uma vez usada a função do passo anterior, é usada a função scaleABCD onde
os parâmetros são, ABCD são os dados extraı́dos da função stuffABCD, nlev o número
de nı́veis do quantizador, f a frequência normalizada do sinal de entrada, xlim limite de
dados, ymax limite para analisar a estabilidade do modulador e umax o nı́vel máximo
para o sinal de entrada permitido.
O intuito no uso dessa função, scaleABCD, deve-se ao fato de que a excursão de
saı́da de cada estágio integrador fique nos limites especificados, onde os mesmo não devem
saturar o sinal amplificado, evitando a distorção dos sinais de saı́da dos integradores. A
excursão de saı́da usual é de 50% da tensão de referência.

[ABCDs, umax] = scaleABCD(ABCD,nlev,f,xlim,ymax,umax) (3.6)

Para converter a nova matriz de espaço de estados de volta para os coeficientes


de uma topologia especı́fica é usada a função mapABCD, conforme a equação 3.7. O
resultado dessa função é uma matriz de coeficientes, que serão usados no modelo de blocos
do modulador em tempo discreto, assumindo a função dos ganhos de realimentação do
sistema.

[a,g,b,c] = mapABCD(ABCDs,f orm) (3.7)

Cada um destes coeficientes desempenha um papel na composição do modulador em ato


nı́vel, são eles:

• a — Coeficientes de feedback/feedforward de/para o quantizador.

• b — Coeficientes de alimentação da entrada do modulador para cada integrador.

• c — Coeficientes entre estágios do integrador.

• g — Coeficientes de ressonância.

Os coeficientes resultantes, dispostos na tabela 2, podem ser utilizados para uma


melhor análise em alto nı́vel dos resultados obtidos, onde é possı́vel realizar a simulação
via Simulink da topologia e seus coeficientes.

Tabela 2 – Coeficientes para a topologia CIFB


Coeficientes Valor
a1,b1 0,7822
a2,b2 0,1590
b3 1
c1 0,0568
c2 4,878
g 0
Capı́tulo 3. Projeto e Avaliação do Modulador em Matlab/Simulink 26

A figura 20 mostra o modelo em alto nı́vel do modulador sigma-delta de segunda


ordem, onde foram aplicados os coeficientes para a topologia CIFB, gerados nos passos
anteriores e dispostos na tabela 2. Nesta etapa, onde o bloco bitstream armazena os dados
de saı́da do modulador e retorna para o programa a fim de serem analisados.

Figura 20 – Modelo Simulink modulador ideal de segunda ordem topologia CIFB.

Sine Wave b3
b1 b2
g1

z-1 z-1
1-z-1 1-z-1
c1 IDEAL Integrator (with Delay) c2 Relay To Workspace

a1
a2

Scope1

Fonte: Autor (2023).


Capı́tulo 3. Projeto e Avaliação do Modulador em Matlab/Simulink 27

Outro ponto de interesse ao projetista é análise da densidade espectral de potência


(PSD), obtida através da transformada rápida de Fourier do sinal de saı́da do modulador,
o qual é um dado de suma importância para análise de desempenho do modelo e esta
representada na figura 21.

Figura 21 – Densidade espectral de potência.


0
SNR
-20
-40
-60
-80
PSD [dB]

-100
-120
-140
-160
-180
-200
-220

102 103 104 105 106


Frequency [Hz]
Fonte: Autor (2023).

Através da ferramenta SDtoolbox 2 (MALCOVATI, 2023), onde a função calcSNR,


representada na equação 3.8, recebe os dados do modelo de blocos do Simulink e calcula a
relação sinal-ruı́do (SNR), a relação sinal-ruı́do-distorção (SNDR) e o número efetivo de
bits (ENOB) que o modelo do modulador atingiu.

[snrdB,ptotdB,psigdB,pnoisedB] = calcSN R(vout,f,f BL,f BH,w,N ) (3.8)

As entradas para essa função são, respectivamente, vout sinal de saı́da do modulador, f
frequência normalizada, fBL bins de frequência de limite inferior da banda base, fBH bins
de frequência de limite superior da banda base, w vetor de janelamento para a FFT e N
que é o número de amostras. esta função retorna os dados de desempenho de interesse do
modulador, por meio de dados como ENOB, SNR e SNDR pode-se avaliar o desempenho
do modelo em alto nı́vel desenvolvido.
28

4 RESULTADOS
Partindo das funções utilizadas para projetar o modulador sigma-delta, apresen-
tados na seção 3 e os coeficientes gerados pela função mapABCD, utilizados no modelo
simulado no software Matlab/Simulink, o projeto obteve como resultados gerados os
gráficos apresentados nessa seção.
Os sinais de entrada e saı́da do modulador, apresentados na figura 22, mostram um
sinal de entrada (em vermelho) na frequência de 1,9688 kHz, dimensionados para que o sinal
de saı́da (em azul) possa ter um janelamento, que efetue uma amostragem coerente onde
posteriormente será necessário para a obtenção de dados relativos à densidade espectral
de potência do modulador.

Output
Input
1

0.5
Amplitude

-0.5

-1

0 1 2 3 4 5 6
N-Samples 10-5

Figura 22 – Sinais de entrada e saı́da modulador sigma delta.

A figura 4.1 apresenta o diagrama de polos e zero e a resposta em frequência da


função de transferência do ruı́do (NTF), onde a equação 4.1 apresenta a NTF em termos
da transformada Z.
(Z − 1)2
NT F = 2 (4.1)
(Z − 1.225Z + 0.4415)
A análise do diagrama de polos e zeros, apresentada na figura 23, tem como
ponto-chave a localização dos polos e zeros no cı́rculo unitário, o que mostra que o sistema
é estável, corroborando onde efeitos de instabilidade estão presente em moduladores a
partir de 3ª ordem.
Capı́tulo 4. Resultados 29

Pole-Zero NTF
1

0.5

-0.5

-1
-2.5 -2 -1.5 -1 -0.5 0 0.5 1 1.5 2 2.5

Frequency Response
0

-20
dB

-40

-60
0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 0.45 0.5
Normalized frequency(fs)

Figura 23 – Diagrama de polos e zero da NTF do modulador.

A relação sinal ruı́do efetiva para o modulador em alto nı́vel, apresentada na


figura 24, mostra a relação entre potência do sinal de interesse e a atenuação do ruı́do de
quantização, alcançando 77,9 dB.

0
SNR
NBW

-20

-40
dBFS

-60

-80

-100
SNR = 77.9dB
NBW=0.00002

-120
0 0.05 0.1 0.15 0.2 0.25 0.3 0.35 0.4 0.45 0.5
Normalized Frequency (fs)

Figura 24 – Relação sinal-ruı́do para o modulador.

A relação sinal-ruı́do de pico, apresentada na figura 25, mostra a máxima potência


de um sinal e a potência do ruı́do de quantização que afeta a fidelidade de sua representação.
Como uma variedade de sinais possuem uma ampla faixa dinâmica, o pico de SNR (PSNR)
apresentado alcançou 109,3 dB.
Capı́tulo 4. Resultados 30

110 Peak SNR


Predict Peak SNR
100

90

80

SNR (dB) 70

60

50

40 peak SNR = 109.3dB


Predict Peak SNR = 78.0dB
Peak SNR = 107.4dB
30

20

10

0
-100 -80 -60 -40 -20 0
Input Level (dB)

Figura 25 – Pico da relação sinal-ruı́do

Tomando como base os resultados obtidos e os coeficientes de realimentação


gerados na tabela 2, onde tais são aplicados no modelo simulink, apresentados na figura
20 para análise do comportamento do modulador como a PSD.
A densidade espectral de potência apresentada na figura 26, é obtida mediante um
janelamento, onde uma função de janela multiplica o sinal antes aplicar a transformada de
Fourier. Essa função de janela reduz gradativamente a amplitude do sinal em direção às
extremidades, ajudando a minimizar o vazamento espectral. Ainda, para a obtenção dos
dados referentes a figura 26 e tabela 3, faz-se necessária uma amostragem coerente dos
sinais, onde a amostra deve estar em sincronia com o sinal amostrado, evitando efeito de
anti-aliasing, que ocorre quando a taxa de amostragem é menor que a frequência do sinal
amostrado.
Capı́tulo 4. Resultados 31

0
SNR
-20
-40
-60
-80
PSD [dB]
-100
-120
-140
-160
-180
-200
-220

102 103 104 105 106


Frequency [Hz]
Figura 26 – Densidade espectral de potência.

A tabela 3, mostra os resultados do modulador sigma-delta de 2ª ordem utilizando


a topologia CIFB, onde tal obteve como resultados os valores para a relação sinal-ruı́do
(SNR) e a relação sinal-ruı́do distorção (SNDR) de 82,6 dB, e o número efetivo de bits
(ENOB) 13,4 bits, que atendem o desempenho desejado nas especificações de projeto.

Tabela 3 – Resultados modulador em alto nı́vel.


Parâmetros Valores
SNR 82,6 dB
SNDR 82,6 dB
ENOB 13,4 bits

Partindo desse ponto, podem ser calculadas as relações de capacitância e a


conversão do sistema para o modulador ao nı́vel elétrico, uma vez que tal assunto será
discutido na seção 5, onde será discutido o cronograma de atividades futuras.
32

5 CRONOGRAMA
A Tabela 4 apresenta o cronograma de atividades onde a proposta da defesa do
projeto de pesquisa e desenvolvimento contempla a primeira parte, são posteriormente
estipuladas as atividades futuras que irão compor o trabalho de conclusão de curso.
As atividades marcadas com asterisco (*) fazem referência as atividades já realiza-
das referentes à proposta do trabalho, e a atividade marcada com ** representa o artigo
submetido ao evento Microelectronics Students Forum - SForum 2023 .
Para o evento SForum 2023 foi submetido o seguinte arquivo Preliminary Design
and Comparative Analysis Between Different DT Sigma-Delta Modulators.

Tabela 4 – Cronograma de atividades


Meses
Atividades
08/23 09/23 10/23 11/23 12/23 01/24 02/24 03/24 04/24 05/24 06/24 07/24
Revisão Bibliográfica e estudo sobre moduladores SD* x
Revisão Teórica de Conversores A/D * x x
Projeto em alto nı́vel do Modulador Sigma-Delta
x x x
usando a ferramenta DS Toolbox - Matlab/Simulink*
Artigos submetidos a eventos** x
Extração dos resultados preliminares do modulador ao nı́vel de sistema* x x
Defesa do Projeto de Pesquisa e Desenvolvimento x
Projeto de portas lógicas à nı́vel elétrico e implementação de chaves ideais em Verliog-A x x x
Implementação do modulador em nı́vel elétrico utilizando OTAs ideias x x x
Implementação do Comparador dinâmico ideal em Verilog-A x
Extração dos resultados x x
Projeto ao nı́vel elétrico do comparador
x x
Dynamic Bias Latch-type/Double tail/Strong Arm
Projeto ao nı́vel elétrico dos amplificadores
x x
operacionais de transcondutância (Otas)
Layout e resultados do modulador completo x x
Defesa do Trabalho de conclusão de curso x

A implementação do modelo elétrico do ADC sigma-delta de segunda ordem que


sera posteriormente desenvolvido para dar seguimento a este trabalho é mostrada ma
figura 28, para atingir esse modelo serão convertidos os coeficientes de realimentação em
valores de capacitância, representados na figura 28 pelos capacitores C1 , C2 , C3 , C4 e C5 .
Para a implementação dos amplificadores operacionais totalmente diferenciais,
seráo usados dois amplificadores de terminação simples empregando um transformador do
tipo balun na entrada, conforme podem ser observados na figura 27.

Figura 27 – Implementação de um amplificador totalmente diferencial.

Fonte: Autor.
Capı́tulo 5. Cronograma 33

As chaves ideais mostradas na figura 28, são implementadas em Verilog-A e tem


seu código-fonte mostrado abaixo.
‘ i n c l u d e ” c o n s t a n t s . vams ”
‘ i n c l u d e ” d i s c i p l i n e s . vams ”
‘ d e f i n e TDEL 1E−10
‘ d e f i n e TTRAN 1E−10

module s w i t c h ( a , b , en ) ;
inout a , b ;
i n p u t en ;
e l e c t r i c a l a , b , en ;
parameter vth = 0 . 5 ;
i n t e g e r enabled ;

parameter real ron = 50m from ( 0 : i n f ) ;


parameter real r o f f = 1 0 0 . 0G from ( ron : i n f ) ;
parameter real td = 100 e −12;
parameter real t t = 100 e −12;

r e a l R;

analog begin
@ ( above (V( en ) − vth ) ) R = ron ;
@ ( above ( vth−V( en ) ) ) R = roff ;
I ( a , b ) <+ V( a , b ) / t r a n s i t i o n (R, td , t t ) ;
end
endmodule

O comparador dinâmico ideal, que será utilizado no modulador sigma delta ao


nı́vel elétrico, tem seu código-fonte mostrado a baixo. O comparador dinâmico ideal em
Verilog-A uma vez que o modulador com componentes ideais atinja o desempenho desejado
será substituı́do por uma topologia de comparador dinâmico chamadas Double-tail bias
(SAVANI; DEVASHRAYEE, 2017) ou Dynamic bias latch-type (BINDRA et al., 2018).
De mesmo modo, os OTAs ideais serão substituı́dos por uma topologia de amplificador
analógico a ser estudada.
Capı́tulo 5. Cronograma 34

‘ i n c l u d e ” c o n s t a n t s . vams ”
‘ i n c l u d e ” d i s c i p l i n e s . vams ”

module c o m p i d e a l ( Vin n , Vin p , c l k , Vout n , Vout p ) ;

i n p u t Vin n , Vin p , c l k ;
output Vout n , Vout p ;
e l e c t r i c a l Vin n , Vin p , c l k , Vout n , Vout p ;

parameter r e a l vth = 2 . 5 ;
parameter r e a l t f a l l = 1p ;
parameter r e a l f r i s e = 1p ;

r e a l hold P , hold N ;

analog begin
@( c r o s s ( ( V( c l k )−vth ) , + 1 ) ) b e g i n
i f (V( Vin p)> V( Vin n ) ) b e g i n
hold P = 5 ;
hold N = 0 . 0 ;
end
e l s e begin
hold P = 0 . 0 ;
hold N = 5 ;
end
end
V( Vout p)<+ t r a n s i t i o n ( hold P , 1 p , 1 p , 1 p ) ;

V( Vout n)<+ t r a n s i t i o n ( hold N , 1 p , 1 p , 1 p ) ;


end
endmodule

Uma vez que o comportamento do modulador com componentes ideais seja simulado e
obtenha os resultados esperados, serão implementados os blocos analógicos para o compara
Capı́tulo 5. Cronograma

V2
V1

VDD VDD

VB2 VB1
C4
P1
VSS VSS
V1
P1 P2 P2 P1 P2
C1 C2 C3 C5
XX S1N X1P S2N X2P P2
V2

P1 P2
U − − +
V
ICM
+ A1 P1 P2 ICM
+ A2
- -
+ + −
P1 P2 VB1
P1

YY S1P X1N S2P X2N


C2
C1 C3 C5
P2 P2
P1 P1 VB2
VDD VDD P2
CLOCK
C4

Fonte: Autor (2023).


V1 VB1 P1

VSS VSS

VB1 V1
P2
Figura 28 – Esquemático do modulador.
35
36

6 CONCLUSÕES
Esse trabalho apresentou um estudo e modelagem comportamental ao nı́vel de
sistema de um modulador sigma-delta em tempo discreto em baixa tensão para a faixa de
áudio utilizando a DS toolbox ambientada no software Matlab/Simulink.
Em primeiro momento foi desenvolvida uma revisão teórica sobre amostragem, quantização
e a modulação sigma-delta, onde o objetivo de mostrar as principais caracterı́sticas e
estratégias por trás do funcionamento deste tipo de modulação, ainda foram descritas as
metricas de desempenho para avaliar os moduladores sigma-delta.
Subsequentemente o projeto em alto nı́vel utilizando o software Matlab/Simulink
onde a ferramenta DS Toolbox sintetizou o comportamento de um modulador sigma-delta
de 2ª ordem utilizando a topologia de cascata de integradores em feedback (CIFB). Ainda
os resultados foram obtidos por meio de técnicas amostragens coerentes aplicadas para
extração dos dados desejados.
Uma vez obtidos os parâmetros dos coeficientes de realimentação para a topologia
especificada em projeto, foram discutidos os resultados obtidos através do modelo Simulink
do modulador, onde obtiveram-se os resultados atingindo o desempenho estipulado de
ENOB 13 bits, SNR e SNDR 82,6 dB.
Por fim na secao 5 apresenta o cronograma de trabalho que contempla deste projeto
ate a defesa do trabalho de conclusáo de curso, onde sera avaliado o comportamentdo do
modulador a nivel eletrico com componentes ideias, subsequentemente serao desenvolvidos
blocos analogicos para substituir os componentes ideais, todos os projetos futuros serao
desenvolvidos no software Cadence Virtuoso, onde sera utilizada a tecnologia CMOS 65
nm.
37

REFERÊNCIAS
ALLEN, P. E. P. E.; HOLBERG, D. R. CMOS analog circuit design. [S.l.: s.n.], 2002.
757 p. ISBN 9780199765072. Citado na página 7.

BINDRA, H. S. et al. A 1.2-v dynamic bias latch-type comparator in 65-nm cmos with
0.4-mv input noise. IEEE journal of solid-state circuits, IEEE, v. 53, n. 7, p. 1902–1912,
2018. Citado na página 33.

CUBAS, A.; GROVER, H. Projeto de um modulador sigma-delta de baixo consumo para


sinais de áudio. Tese (Doutorado) — Universidade de São Paulo, 2013. Citado na página
11.

INOSE, H.; YASUDA, Y.; MURAKAMI, J. A telemetering system by code modulation -


δ- σmodulation. IRE Transactions on Space Electronics and Telemetry, SET-8, p. 204–209,
1962. Citado na página 7.

JOHNS, D. A.; MARTIN, K. Analog integrated circuit design. [S.l.]: John Wiley & Sons,
2008. Citado 2 vezes nas páginas 12 e 13.

LATHI, B. Sinais e sistemas lineares (2a. ed.). [S.l.]: Grupo A - Bookman, 2000. 853 p.
ISBN 9788577803910. Citado na página 9.

LIMA, E. C. d. O. Moduladores Sigma-Delta passa-banda programáveis usando filtro


N-Path. Dissertação (Mestrado) — Universidade Federal do Rio Grande do Norte, 2023.
Citado na página 14.

MALCOVATI, P. SDToolbox 2. 2023. Disponı́vel em: <https://www.mathworks.com/


matlabcentral/fileexchange/25811-sdtoolbox-2>. Citado na página 27.

MALOBERTI, F. Data converters specifications. [S.l.]: Springer, 2007. Citado 4 vezes nas
páginas 9, 10, 15 e 16.

MARIN, J. V. D. L. C. INTEGRATED CIRCUIT DESIGN OF SIGMA-DELTA


MODULATOR FOR ELECTRIC ENERGY MEASUREMENT APPLICATIONS. 2023.
Citado na página 17.

PARK, S. Principles of sigma-delta modulation for analog-to-digital converters. Motorola,


1999. Citado na página 20.

ROSA, J. M. D. L. Sigma-delta modulators: Tutorial overview, design guide, and


state-of-the-art survey. [S.l.]: Institute of Electrical and Electronics Engineers Inc., 2011.
1-21 p. Citado 2 vezes nas páginas 19 e 20.

ROSA, J. M. D. L. SIGMA-DELTA CONVERTERS Practical Design Guide. 2013.


Citado 5 vezes nas páginas 7, 10, 12, 13 e 15.

SAVANI, V.; DEVASHRAYEE, N. Analysis and design of low-voltage low-power


high-speed double tail current dynamic latch comparator. Analog Integrated Circuits and
Signal Processing, Springer, v. 93, p. 287–298, 2017. Citado na página 33.

SCHREIER, R.; TEMES, G. C. et al. Understanding delta-sigma data converters. [S.l.]:


IEEE press Piscataway, NJ, 2005. v. 74. Citado 3 vezes nas páginas 15, 18 e 22.

Você também pode gostar