Você está na página 1de 13

Circuitos Lógicos Data: 14/12/2015

Prof. Vinícius Rosa Cota


Engenharia Elétrica - UFSJ

Grupo número: 2.
1. Fábio Ricardo de Faria Matr.: 140950141
2. Flávia Macari Ferreira Matr.: 150900052
3. Guilherme Moura Moreira Matr.: 150900047
4. Helena Celestino Maia Matr.: 150900040
5. João Victor Lima Ferrarezi Matr.: 140950113
6. Matheus do Vale Araújo Matr.: 150900024

Tema: Cronômetro de dois dígitos.

1. Introdução

Este trabalho relata o projeto de concepção de um cronômetro digital de dois


dígitos que será configurado para exibir segundos e décimos de segundo na sequência de
0.0 a 9.9.
Baseado na lógica combinacional e sequencial e a fim de aplicar os
conhecimentos adquiridos na disciplina de Circuitos Lógicos, desenvolvemos os projetos
do contador de década bem como do conversor BCD através das técnicas ensinadas.
Entretanto, na montagem do circuito, utilizamos chips para tornar o projeto menos
trabalhoso.

2. Definição do Problema

A fim de descobrir prováveis problemas e tornar a montagem do projeto mais fácil,


usamos programas de software SPICE para simular o nosso circuito. Inicialmente, com o
uso do software Logisim descobrimos algumas dificuldades para as quais precisaríamos
buscar soluções. São elas:

 Geração do clock e ajuste de sua frequência. Circuitos digitais que utilizam


uma lógica sequencial precisam de uma base de tempo para funcionar corretamente.
Buscaremos alternativas para gerar de forma adequada o clock, um sinal elétrico que
serve de base de tempo para o sistema. Utilizaremos um CI de configuração astável
para gerar o clock, e aplicaremos fórmulas para encontrar uma frequência adequada
utilizando capacitores e potenciômetros.

 Controle do sistema nas funções start/stop e reset. O circuito em questão


possui as funções de reset, start e stop, trabalhando assim com as funcionalidades que
um cronômetro convencional executa. Para isso, necessitamos de dois botões, um para
zerar o circuito e outro para parar e retomar. No entanto, é praticamente impossível obter
uma transição de tensão “limpa” com uma chave mecânica, por causa do fenômeno

1
conhecido como trepidação de contato (contact bounce) que produz múltiplas transições.
Sendo assim, os dois botões possuem particularidades, que serão exemplificadas a
seguir.

 Start/Stop. Fizemos o projeto com apenas um botão que satisfaz as duas


condições, utilizando um exemplar com trava que mantém o estado quando
pressionado, evitando assim o uso de mais uma porta lógica. Para sua utilização, o
colocamos juntamente com a saída de clock numa porta AND, para que a saída seja
conectada no clock do primeiro contador. Quando estiver na função start, ou seja, com
entrada lógica um (1), a contagem dependerá exclusivamente do clock. Quando estiver
em stop, ou seja, com zero (0) na entrada, o dispositivo não contará.

 Reset. Para programarmos o botão de reset devemos analisar


cuidadosamente o circuito, pois existem outras funções de reset que o próprio circuito
executa. Elaboramos uma funcionalidade de auto-reset quando o circuito inicia sua
execução. Com a utilização do contador, para realizar o reset é necessário que suas
entradas específicas para a função sejam em nível lógico alto. Ligamos o botão de reset
em uma porta OR, juntamente com o circuito de auto-reset, com a saída ligada nos
pinos de reset do contador, também ligando a saída na entrada do segundo contador,
para que os dois possam zerar ao mesmo tempo (considerando um circuito ideal).

 Auto-Reset. Para que nosso circuito comece automaticamente zerado,


implementamos um circuito de auto-reset, citado acima. Ele consiste em um transistor
NPN conectado em alto juntamente com o botão de reset citado acima. Em sua entrada,
um resistor de 180Ω é ligado no Vcc com um capacitor de 4,7nF ligado no terra. Essa
funcionalidade faz com que o circuito sempre inicie zerado.

 A contagem digital do tempo. Sabemos que um cronômetro se comporta


como um contador. No entanto, ainda não sabemos como transformar pulsos elétricos
em números de uma maneira que possa ser entendida pelo seu usuário. Para isso,
usaremos um contador de década que é um tipo de contador assíncrono. Contadores do
tipo síncrono são aqueles que o sinal de clock atinge simultaneamente todos os flip-flops
do circuito, já no tipo assíncrono, o clock é aplicado apenas ao primeiro flip-flop, e o
clock dos demais blocos é função dos anteriores. Como o sistema a ser construído é
simples, podemos utilizar o contador assíncrono.

 A exibição do tempo. O contador utilizado conta de 0 a 9 em binário, ou seja,


de 0000 a 1001, o que não seria adequado. Utilizaremos então um decodificador para
transformar a contagem de BCD para decimal, o que é mais convencional. Por último,
para uma exibição do tempo que funcione de maneira simples e compreensível ao
usuário, utilizaremos displays de 7 segmentos que, de acordo com o nome, possuem 7
LED’S dispostos em forma de segmentos e que permitem uma visualização decimal da
contagem. A seguir, temos o projeto de decodificação do sistema

2
3. Metodologia

Para realizarmos este trabalho, utilizamos o princípio do decodificador para


programar o cronômetro. Sendo assim, é necessário um contador BCD saindo em um
display de sete (7) segmentos. No projeto inicial, realizamos uma contagem até 59.9
segundos, porém, na prática, a contagem foi reduzida até 9.9, já que esse foi o valor
pedido no projeto.
Para cada segmento do display existe um circuito com portas lógicas, o que torna o
circuito mais complexo e trabalhoso. No intuito de simplificar o trabalho, utilizamos
decodificadores prontos vendidos no comércio. O circuito integrado 4511 foi o escolhido.
No entanto, para fins educativos, segue o projeto dos circuitos de cada segmento,
utilizando tabelas verdade, mapa K e álgebra booleana.

Tabela verdade que mostra o processo de decodificação.

Observamos através da tabela verdade que a condição para máximo consumo


do display ocorre quando temos o algarismo oito (8), pois todos os dígitos são acesos.
Para contar de 0 a 9: AND com A e C: quando os 2 forem 1 (1010) vai zerar;
Para contar de 0 a 5: AND com B e C: quando os 2 forem 1 (0110) vai zerar.

3
Acima, estão os mapas K e as expressões do circuito das sete (7) saídas dos
segmentos do display.

4
Circuito da saída A

Circuito da saída D

Circuito da saída E
Circuito da saída B

Circuito da saída F

Circuito da saída C

5
Circuito da saída G

Circuito geral do sistema

Através das resoluções utilizando álgebra booleana, mapas de Karnaugh e as


técnicas e experiências adquiridas ao longo do curso de Circuitos Lógicos, encontramos
essas expressões para as saídas que precisamos. Na prática, foi permitido que
contadores de década fossem utilizados, assim como decodificadores. Para meios de
projeto, utilizamos dois simuladores: Logisim e Multisim. O primeiro para realizar a
experiência com as portas lógicas básicas, e o segundo com os chips.

Utilizando o Logisim: Para os divisores de frequência, utilizamos os flip-flops


JK em função de toggle, ou seja, com as entradas em nível alto e o clock de uma porta
sendo a saída do outro. Para limitar a contagem até 9, ou seja 1001, ligamos as
entradas A e C (tendo D o menos significativo) em uma porta AND, para que, quando
elas atingissem o valor alto simultaneamente (ou seja, o valor 1010, 10 em decimal), o
clear fosse ativado e zerasse o circuito. O clock do próximo contador só é ativado
quando essa condição ocorre. Para os botões de start/stop e reset, como só há
exemplares sem trava, utilizamos um flip-flop JK também em função de toggle, com o
botão no clock e formando um AND com o clock do contador. No terceiro contador
utilizado (somente no Logisim), que vai apenas até 5, para utilizarmos a mesma lógica
da contagem BCD, adaptamos a obstrução da contagem. Ao invés de ligarmos as
entradas A e C em uma porta AND, ligamos as entradas B e C, ou seja, quando seus
valores lógicos forem altos (0110, 6 em decimal), o clear será ativado.

6
Simulação Logisim: vista de dois contadores com display zerado

Vista com zoom do circuito, mostrando botões e circuito em estado de stop.

7
Utilizando o Multisim: Sendo esse um programa mais completo e com mais
recursos, podendo utilizar assim as portas lógicas requeridas (contadores de década e
decodificadores), conseguimos realizar um projeto mais completo e mais próximo do que
foi realizado para apresentar o vídeo. Utilizamos o contador de década 74LS90 e o
decodificador 4511 para executarmos o projeto, sendo eles referenciados pelo professor
para tal aplicação. O clock, que vai ser mais aprofundado posteriormente, é ligado no
input A do primeiro contador, para que a contagem seja iniciada. As saídas Qa, Qb, Qc e
Qd do contador são conectadas às respectivas entradas do decodificador, e suas saídas
são ligadas ao display. Para o segundo display, o clock do segundo contador é
conectado à saída D (mais significativa) do primeiro contador. Assim, irá acontecer o
mesmo que foi citado anteriormente, ou seja, o segundo contador só será acionado
quando o primeiro terminar sua contagem. Não há a necessidade de que exista uma
porta AND para parar o contador, já que essa função já pertence ao próprio chip em
questão, sendo essa uma das razões pelas quais o escolhemos. Após isso, são ligados
os dispositivos de reset, auto-reset e start/stop, para que assim o circuito possa ser
finalizado. Para efeitos de teste, utilizamos resistores de 180Ω nas saídas dos
decodificadores e entradas dos displays
.

Projeto no Multisim: Mais próximo da prática. Cronômetro zerado.

8
Cronômetro contando e projeto em estado de stop (chave aberta).

Clock. Para implementarmos o clock do projeto, utilizamos um oscilador


astável, o 555. Projetamos uma frequência de 10Hz para o cronômetro em questão, e,
para isso, utilizando as fórmulas abaixo, calculamos os valores de resistência.

Tendo que Ra deve ser maior que 1kΩ e Ra+Rb deve ser menor que 6,6MΩ,
também com o valor de capacitância maior que 0,5µF, utilizamos as fórmulas seguintes:
tL= 0,693RbC
tH=0,693(Ra+Rb)C
T=tL + tH
F=1/T
Duty Cycle = (tH/T)*100%

Para conseguirmos um ciclo de trabalho mais próximos possíveis de 50%,


utilizamos dois potenciômetros para ajustar a resistência. Devido às limitações físicas do
sistema e a escolha do capacitor de 4,7µF, conseguimos encontrar os valores de
resistência de 1KΩ e 100kΩ, com um valor de frequência de 1.504Hz, um período de
654.677ms e um ciclo de trabalho de 50,25%. Com isso, o tempo de High representou
328.367ms e o tempo de low representou 325.710.

9
4. Resultados
Quando saímos dos programas de simulação e fomos concretizar tudo que foi
projetado, precisamos de 2 chips 74LS90 e um 4511, para as funções de contador
decádico e decodificador, fato que já foi citado. Também utilizamos o chip 7408, que
representa a porta lógica AND, e o chip 7432, que representa a porta lógica OR.
Reunimos-nos por alguns dias até a conclusão da prática. Seu desenvolvimento foi um
pouco mais difícil que o imaginado, já que algumas coisas na prática não saíram como o
esperado, por algumas falhas humanas e também de alguns componentes. No início,
estávamos utilizando o chip 74LS93 para a contagem, mas não tivemos um bom
rendimento com ele, então adquirimos o 74LS90, com o qual conseguimos realizar o
projeto. Também adquirimos o chip 7432 que não havia no laboratório, e um botão sem
trava, para que a função de reset do circuito fosse implementada. Após algumas
tentativas sem sucesso, conseguimos fazer com que o circuito contasse, porém não da
maneira correta. Com algumas verificações sem êxito, remontamos o circuito, testando
cada contador e cada display por vez, até alcançar um resultado satisfatório.

Vista da montagem dos displays de 7 segmentos

10
Vista da montagem do clock e componentes de ajuste de frequência

Vista da montagem dos botões start/stop e reset

11
Vista geral do circuito implementado em protoboard.

5. Conclusão

Este trabalho teve como finalidade aplicar os conhecimentos adquiridos em sala de


aula na disciplina de Circuitos Lógicos. Através do desenvolvimento do cronômetro de 2
dígitos, compreendemos e presenciamos o passo a passo da criação de um projeto.
Aprendemos etapas importantes como a concepção, verificação, simulação,
implementação da prática, testes e verificação de resultados.
Quanto ao aprendizado, foram necessárias pesquisas para o entendimento do
comportamento e funcionamento dos contadores, decodificadores, geração de clock e
demais componentes utilizados. Além disso, uma revisão dos assuntos vistos em sala de
aula, como simplificação de álgebra booleana, tabelas verdade e mapa K. Sem dúvidas
foi de grande aprendizado o projeto concebido, onde conseguimos aplicar o conhecimento
adquirido durante todo o período.

12
6. Referências Bibliográficas
TOCCI, R.J. & WIDMER, N.S. Sistemas digitais: princípios e aplicações. 10ª Ed, Prentice-
Hall, 2003.
<http://www.newtoncbraga.com.br/index.php/circuitos-simulados/circuitos-logico>. Acesso
em: 15/12/15 às 23h05min.

13

Você também pode gostar