Você está na página 1de 13

UNIVERSIDADE METODISTA DE ANGOLA

FACULDADE DE ENGENHARIA E ARQUITECTURA


ENGENHARIA INDUSTRIAL E SISTEMAS ELÉCTRICOS

TRABALHO DE ELETRÔNICA II

FLIP FLOPS

LUANDA

2024
UNIVERSIDADE METODISTA DE ANGOLA
FACULDADE DE ENGENHARIA E ARQUITECTURA
ENGENHARIA INDUSTRIAL E SISTEMAS ELÉCTRICOS

EDITH DA FONSECA FREDERICO - 44224

FLIP FLOPS

O DOCENTE
__________________
MALUDIDI SAMUEL

LUANDA
2024
ÍNDICE

RESUMO....................................................................................................................................3
INTRODUÇÃO.........................................................................................................................4
FUNCIONAMENTO..................................................................................................................5
TIPOS DE FLIP FLOPS……………………………………………………………………….6
APLICAÇÕES DOS FLIP FLOPS NA ELETRÔNICA............................................................8

O PAPEL FUNDAMENTAL DE UM FLIP-FLOP EM CIRCUITOS DIGITAIS…………...9

O PAPEL DOS FLIP FLOPS RS NA LÓGICA


DIGITAL…………………………….9
CONCLUSÃO……………………………………………………………………………......10
REFERÊNCIAS BIBLIOGRÁFICAS………………………………………………………..11

INDICE FIGURAS

Fig.01:Exemplos de um circuito flip flop: Flip flops SR ( Latch)…………………………….6


Fig.02:Símbolo de um flip flop tipo T…………………………………………………………6
Fig.03:Tipos de flip flops………………………………………………………………………6
Fig.04: Flip Flop tipo SR…….………………………………………………………………...7
Fig.05: Flip flop tipo D………………………………………………………………………...7
Fig.06: Flip flop tipo JK………………………………………………………………………..7
Fig.07: Flip flop tipo T………………………………………………………………………....8

3
RESUMO

Os flip-flops são elementos fundamentais na eletrônica digital, sendo dispositivos de


armazenamento de dados binários. Eles são essenciais em circuitos sequenciais,
desempenhando um papel crucial em registros, contadores e memórias de computadores.
Existem diferentes tipos de flip-flops, como SR, D, JK e T, cada um com características
únicas. Sua versatilidade os torna essenciais na construção de sistemas digitais,
proporcionando a capacidade de armazenar e manipular informações de maneira eficiente. O
uso de flip-flops é fundamental para a operação estável e confiável de dispositivos eletrônicos
em diversas aplicações, desde processadores de computadores até sistemas de controle.

4
INTRODUÇÃO

Até agora os circuitos lógicos estudados são classificados como combinacionais, pois o
nível lógico de saída, em qualquer instante de tempo, depende somente dos níveis lógicos
de entrada naquele mesmo instante. Não há influência de nenhuma condição de entrada
anterior sobre as saídas atuais, já que os circuitos combinacionais não possuem memória.

Na maioria dos sistemas digitais, há a presença de circuitos combinacionais e elementos


de memória. O flip-flop é o componente de memória mais importante e é constituído por
um conjunto de portas lógicas interconectadas. Embora uma única porta lógica não possua
capacidade de armazenamento, algumas delas podem ser conectadas de maneira a permitir
guardar informações.

5
Um flip-flop é um elemento que encontra inúmeras aplicações em eletrônica digital e áreas
associadas, como por exemplo na construção de computadores digitais.

Os flip-flops permitem aplicar funções matemáticas e de busca e armazenamento de dados,


podendo armazenar um bit de dados, o qual é representado por um estado lógico alto ou baixo
(0 ou 1).

Os flip-flops também encontram outras aplicações, como por exemplo na construção de


circuitos multivibradores astáveis, contadores digitais e debouncing de chaves
eletromecânicas.

FUNCIONAMENTO

Um flip-flop possui duas saídas, que podem ter um estado (nível lógico) alto ou baixo.
Quando em funcionamento normal, as saídas se encontram em estados lógicos opostos – um
alto e o outro baixo.

Identificamos as saídas do flip-flop em circuitos ou tabelas-verdade geralmente


como Q e Q̄ (“Q barra”). Algumas vezes representamos Q̄ como Q’ .
Existem flip-flops síncronos e assíncronos. Um flip-flop assíncrono responde imediatamente a
uma mudança em sua entrada, alterando a saída no mesmo instante. Sõ geralmente conhecidos
pelo termo Latch.

Já em um flip-flop síncrono uma alteração na entrada não é refletida imediatamente na saída –


ela deve ser habilitada por uma transição de estado lógico a partir de um clock externo.

Fig.01: Exemplo de um circuito de flip-flop: Flip-flop SR (Latch).

Há diversos tipos de flip-flops, classificados quanto ao seu modo de funcionamento e


construção. A simbologia dos flip-flops difere dependendo do tipo, e será mostrada
conforme os apresentamos nas próximas lições. Como exemplo, podemos ver na ilustração
a seguir

6
Fig.02: Símbolo de um Flip-flop tipo T.

TIPOS DE FLIP FLOPS

Em primeiro lugar existem vários tipos de flip-flops encontrados em circuitos digitais.

Fig.03: Tipos de flip flops.

A princípio os flip-flops semicondutores foram implementados e a etapa final de seu


desenvolvimento foi integrá-los como elementos de circuitos integrados em famílias lógicas
como TTL e CMOS ou em diferentes tipos de memória.

Dessa forma é interessante que nós conheçamos os principais tipos de flip-flops presentes nos
circuitos integrados. tais como:

 FLIP-FLOP TIPO SR
De antemão eu digo que os circuitos de armazenamento com portas interconectadas são mais
simples.

Bem como Q e Q’ são as saídas do latch (latch se refere ao elemento FF). Ao passo que a
entrada SET seta o latch (Q = 1 e Q’ = 0) e a entrada RESET resseta (limpa) o latch (Q = 0 e
Q’ = 1).

Da mesma forma, mencionarei que a tabela verdade corresponde à saída do sistema de todas
as combinações de entrada que envolvem um sistema lógico combinacional. Deve-se seguir
três etapas para criar o circuito final:

 Componha a tabela verdade.


 Identifique uma fórmula de uma tabela.
 Identifique o circuito lógico correspondente ao projeto.

7
Fig.04: Flip flop tipo S R.

 FLIP-FLOP TIPO D
Antes de mais nada o flip-flop D (“dados” ou bit de entrada do armazenamento de dados) tem
uma entrada e é conectado diretamente à saída quando o clock é alterado. Independentemente
do valor de saída atual.

Fig.05: Flip flop tipo D.

 FLIP-FLOP TIPO JK
Os flip-flops JK melhoram o comportamento dos flip-flops RS interpretando a condição S = R
= 1 como uma instrução de inversão.

Mais precisamente, a combinação de J = 1 e K = 0 é o comando que determina a saída do flip-


flop. A combinação de J = 0 e K = 1 é uma instrução que invalida (reseta) a saída do flip-flop.
A combinação de J = K = 1 é uma instrução que inverte o flip-flop e substitui o sinal de saída
por seu deslocamento. Se J = K, então o flip-flop JK se torna o flip-flop T.

Fig.06: Flip flop tipo JK.

8
 FLIP-FLOP TIPO T
Quando a entrada T está em um estado lógico alto, o botão invertido T (“toggle”) mudará o
estado da saída cada vez que a entrada do clock mudar. Se a entrada T for baixa, os flip-flops
mantêm seu valor antes da saída.

Fig.07: Flip flop tipo T.

APLICAÇÕES DOS FLIP-FLOPS NA ELETRÔNICA

Os flip-flops, também conhecidos como flip-flops, são componentes fundamentais no campo


da eletrônica digital. Esses dispositivos de memória são capazes de armazenar um pouco de
informação e alterar seu estado em resposta a um sinal de clock. Devido à sua versatilidade,
os flip-flops possuem uma ampla variedade de aplicações em diferentes áreas da eletrônica.

1. Contadores: Flip-flops são amplamente utilizados na criação de contadores digitais. Esses


contadores podem contar pulsos de clock e gerar sequências de números binários. Os flip-
flops são conectados em cascata para formar registradores de deslocamento que permitem que
bits de informação sejam armazenados e deslocados em diferentes estágios do contador.

2. Memoria: Flip-flops também são utilizados na construção de memórias digitais. Essas


memórias são capazes de armazenar grandes quantidades de dados de forma não volátil. Os
flip-flops são organizados em arrays e conectados entre si para formar registros de memória
que podem ser gravados e lidos por um microprocessador.

3. Sincronização de sinal: Flip-flops são usados para sincronizar e estabilizar sinais digitais
em diferentes sistemas eletrônicos. Ao usar flip-flops como divisores de frequência, sinais de
clock com frequências mais baixas podem ser gerados para sincronizar outros componentes
do sistema.

4. Sequenciadores: Flip-flops também são usados na criação de sequenciadores digitais.


Esses sequenciadores geram sequências de saída com base nas entradas e nos estados internos
dos flip-flops. Essas sequências são usadas em aplicações como geradores de padrões,
controladores de luz e sequenciadores de música.

5. Deteção de borda: Flip-flops são usados para detetar alterações ou bordas em sinais
digitais. Essas alterações podem ser usadas para ativar ou desativar outros componentes do
sistema. Os flip-flops são configurados no modo de deteção de borda para capturar a mudança
de estado de um sinal.

9
6. Debate: Os flip-flops também são usados para eliminar botões ou botões em circuitos
eletrônicos. Os saltos são pequenas flutuações no sinal que ocorrem quando um botão é
pressionado ou liberado.

O PAPEL FUNDAMENTAL DE UM FLIP-FLOP EM CIRCUITOS DIGITAIS

Um flip-flop é um dispositivo fundamental em circuitos digitais. É um tipo de memória que


pode armazenar um bit de informação, ou seja, um estado lógico de 0 ou 1.

Existem diferentes tipos de flip-flops, mas todos possuem uma entrada de dados e uma ou
mais saídas que representam o estado atual do flip-flop.

Além do flip-flop D, existem outros tipos de flip-flops comuns, como o flip-flop JK, o flip-
flop T e o flip-flop SR. Cada um deles possui características e aplicações específicas.

O flip-flop JK é semelhante ao flip-flop D, mas possui uma entrada adicional chamada


entrada de habilitação (EN). Esta entrada permite controlar quando o valor de entrada de
dados é carregado no flip-flop.

O flip-flop T possui uma única entrada chamada entrada shift (T). Quando o pulso de clock
chega, o valor armazenado no flip-flop muda para o seu complemento. Isso significa que se o
flip-flop armazenou 0, ele mudará para 1 e vice-versa.

O flip-flop SR possui duas entradas, chamadas Set (S) e Reset (R). Quando a entrada Set é 1 e
a entrada Reset é 0, o flip-flop armazena um estado lógico de 1. Por outro lado, quando a
entrada Set é 0 e a entrada Reset é 1, o flip-flop armazena um estado lógico de 0. Quando
ambas as entradas são 0, o estado do flip-flop permanece inalterado.

O PAPEL FUNDAMENTAL DO FLIP-FLOP RS NA LÓGICA DIGITAL

Na lógica digital, o flip-flop RS é um dos componentes essenciais para o armazenamento de


informações. Este dispositivo é usado para armazenar um pouco de informação, que pode ser
0 ou 1, temporariamente. O flip-flop RS consiste em duas entradas principais, chamadas Set
(S) e Reset (R), e duas saídas, Q e Q complementar.

Quando a entrada Set (S) é ativada, o flip-flop RS é colocado no estado “1” na saída Q. Isso
significa que um valor “1” foi definido na memória do flip-flop RS. Por outro lado, quando a
entrada Reset (R) é ativada, o flip-flop RS é colocado no estado “0” na saída Q. Neste caso, o
valor armazenado foi redefinido para “0”.

10
CONCLUSÃO

Os flip-flops desempenham um papel vital na construção de circuitos digitais, oferecendo a


capacidade de armazenar dados temporariamente. Sua versatilidade e aplicabilidade em
diversos contextos eletrônicos destacam sua importância na construção de sistemas
computacionais e outros dispositivos digitais.

11
REFERÊNCIAS BIBLIOGRÁFICAS

 FERNANDES, STEFANIA, CONHECENDO OS DIFERENTES TIPOS DE


FLIP FLOPS: RS, JK, D E T, EMBARCADOS, RIO GRANDE DO NORTE,
2023.DISPONÍVELEM:<https://embarcados.com.br/conhecendo-os-diferentes-
tipos-de-flip-flops-rs-jk-d-e-t/>, ACESSO EM: 20 DE FEV DE 2024.
 AUTOR DESCONHECIDO, CONHECENDO OS FLIP FLOPS,AUTOCORE
ROBÓTICA BLOG, RIO GRANDE DO SUL, 2021.DISPONÍVEL EM:
<https://autocorerobotica.blog.br/conhecendo-os-flip-flops/> ACESSO EM: 20
DE FEV DE 2024.

12

Você também pode gostar