Você está na página 1de 88

COTIP Colgio Tcnico Industrial de Piracicaba

APOSTILA C.L.P. LOGO!


Prof. Anderson Rodrigo Rossi

Piracicaba, 11 de fevereiro de 2008.

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

SUMRIO

1 Introduo e Histria do P.L.C. .............................................................................3 2 Tipos de Programao ..........................................................................................7 3 Arquitetura de CLPs ............................................................................................13 4 C.P.U., Cartes I/O, Fonte e Racks ....................................................................21 5 Funcionamento e Utilizao do Micro C.L.P. LOGO! ..........................................35 6 Blocos do LOGO! (LOGO! SOFT COMFORT) ....................................................55 7 Programas Bsicos (Exerccios) ..........................................................................72 8 Programas complexos (Exerccios) .....................................................................78 9 Desenvolvimento de Projetos..............................................................................82

OBS.: Este curso ser baseado em CLP LOGO!-SIEMENS.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

1 Introduo e Histria do P.L.C.


C.L.P. - Controlador Lgico Programvel definido primeiramente nos E.U.A. como P.L.C. Programmable Logic Controller, so equipamentos responsveis por aplicaes comerciais e industriais. O primeiro CLP foi desenvolvido no final de 1960. Foi desenvolvido para atender a flexibilidade das indstrias, no caso a automobilstica onde a linha de montagem dinmica em relao ao modelo do carro a ser produzido. Foi ento que a Bedford Associates ofereceu a General Motors uma soluo. Tratava-se de um dispositivo que poderia funcionar em vrias operaes distintas e facilmente programveis. Esse equipamento era o Modular Digital Controller (MODICON), sendo o MODICON 084 o primeiro modelo comercial, apresentado na Figura 1.1.

Figura 1.1 MODICON 084 primeiro modelo comercial de CLP.

J em 1970, o CLP era equipado com uma CPU, com processador AMD 2901. Em 1973 surgiu a primeira comunicao entre CLPs Mod bus. Em 1980, surge a primeira comunicao Standard MAP (Manufacturing Automation Protocol). Em 1990 chega a norma IEC 1131-3 que leva todas as linguagens a um padro internacional. Hoje, dentro da nova IEC 61131-3, podemos programar o CLP de quatro modos: diagrama de blocos, lista de instrues, ladder e texto estruturado.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

Um CLP monitora entradas, toma decises baseado em uma programao, e controla sadas para automatizar um processo ou mquina. A Figura 1.2 apresenta a integrao do equipamento com as entradas e sadas.

Figura 1.2 Integrao de PLC com entradas e sadas.

O que so entradas? So dispositivos que introduzem informaes ao CLP, tais dispositivos so como: -Chaves; -Botes; -Sensores; -Encoders; -Termopares; -PT100.

O que so sadas? So dispositivos que recebem uma informao do CLP para executar uma determinada ao, tais dispositivos so como: -Motores; -Bombas; -Cilindros; -Resistncias. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

Vantagens do CLP: custos. Economia na mudana de funo (facilidade de programao); Alta confiabilidade; Aumento da vida til do controlador; Menor manuteno preventiva e corretiva; Envio de dados para processamentos centralizados; Expanso em mdulos; Reduo de dimenso em relao a painis de Rels, para reduo de

O funcionamento de um CLP corresponde a trs etapas distintas, as quais so: entradas, processamento e sadas. Essas etapas so ilustradas na Figura 1.3.

Figura 1.3 - Estrutura bsica de funcionamento de um CLP.

O hardware de um CLP formado por 3 unidades distintas, as quais so: fonte de alimentao, CPU (Unidade Central de Processamento) e interfaces de entrada e sadas ou I/O, a Figura 1.4 apresenta as unidades em um modelo de micro CLP.

Figura 1.4 Unidades de hardware em um micro PLC (LOGO! Siemens). C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

QUESTES

1 Defina CLP. 2 Quando foi construdo e qual o nome do primeiro CLP? 3 O que so entradas em um CLP? D alguns exemplos (mnimo 3). 4 O que so sadas em um CLP? D alguns exemplos (mnimo 3). 5 Quais so as principais vantagens do PLC? 6 Quais so as etapas de funcionamento de um PLC? 7 Do que formado ,basicamente, o hardware de um CLP? 8 O que so mdulos em um CLP? 9 Quais so os modos de programao de PLC (dentro da IEC 61131-3)? 10 Cite alguns fabricantes de CLP que voc conhece.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

2 Tipos de Programao
O que linguagem de programao? Uma linguagem de programao um meio de indicar a um sistema de execuo de tarefas uma srie de operaes a serem executadas. Uma linguagem de programao , sobretudo, um meio de exprimirmos idias acerca de metodologias. At incio de 1990 no existiam tcnicas de programao padro para CLP. Os sistemas utilizados eram baseados em textos estruturados em linguagens como Basic, Fortran, C e vrias outras linguagens. A no padronizao de linguagem tinha desvantagens como desperdcio de tempo, alto custo em treinamento e falta de integrao de sistemas. O International Electro-technical Commission (IEC) iniciou trabalhos para padronizar a programao de PLC e atualmente lana mo da IEC 61131-3. Suas vantagens so: - Flexibilidade de programao, atravs de 3 modos grficos e dois textos estruturados. O programador pode escolher qual a melhor linguagem segundo o processo, e at utilizar vrias linguagens em um mesmo processo mantendo a integrao; - Permite que o programa seja quebrado em elementos funcionais; - Reduz erros, principalmente aos relacionados com a digitao; - Sistemas seqenciais podem ser programados passo a passo atravs de sequencial function chart (SFC) Grafecet. Os tipos de linguagens de programao para CLPs so: - Texto Estruturado - uma linguagem de alto nvel, com uma sintaxe que lembra o Pascal, e indicada para uma ampla faixa de aplicaes. Figura 2.1.

Q3 <= (I1 OR I2) AND I3


Figura 2.1 Exemplo de programao de CLP com texto estruturado.

- Ladder - uma linguagem baseada na lgica de contatos, como se fosse um comando eltrico na horizontal. Figura 2.2. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

Figura 2.2 Exemplo de programao de CLP com ladder.

- Lista de Instrues - uma linguagem de baixo nvel, define-se textualmente os componentes e as instrues que o CLP dever realizar passo a passo. Figura 2.3.

Figura 2.3 Exemplo de programao de CLP com lista de instrues.

- Diagrama de Blocos - semelhante ao circuito digital. Temos portas lgicas e blocos montados em caixas selecionveis. Figura 2.4.

Figura 2.4 Exemplo de programao de CLP com diagrama de blocos. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

- SFC (Sequential Function Chart, conhecido como Grafecet) - uma linguagem indicada para processos seqenciais e pode misturar lista de instrues, diagramas ladder e blocos de funes. Figura 2.5.

Figura 2.5 Exemplo de programao de CLP com SFC (Grafecet).

Com relao ao endereamento (baseado em LOGO! SIEMENS).

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

10

As funes so definidas como (baseadas em LOGO! SIEMENS): - Funes Bsicas (GF):

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

11

- Funes Especiais (SF):

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

12

QUESTES

1 Defina linguagem de programao. 2 Quando e qual o rgo que introduziu a primeira linguagem de programao de CLP? Quais so os modos de programao de PLC? 3 Defina linguagem de texto estruturado? D algum exemplo. 4 Defina linguagem ladder? D algum exemplo. 5 Defina linguagem de lista de instrues? D algum exemplo. 6 Defina linguagem de diagrama de blocos? D algum exemplo. 7 Defina linguagem SFC? D algum exemplo. 8 Como funciona o endereamento em programao de CLP (baseado em LOGO!) ? 9 Cite algumas funes bsicas e especiais, mnimo 3 (baseadas em LOGO!). 10 Qual a linguagem de programao do LOGO! (SIEMENS)?

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

13

3 Arquitetura de CLPs
A Figura 3.1 ilustra a arquitetura bsica de um CLP genrico composto de: CPU; Memria Dispositivos de Entrada e de Sada (mdulos de I/Os); Interface Homem/Mquina; Fonte de Alimentao.

Figura 3.1 Ilustrativo da arquitetura bsica de um CLP.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

14

A CPU (Central Processing Unit) - Unidade Central de Processamento a inteligncia do sistema. Ela recebe os sinais digitais e os sinais analgicos dos sensores conectados aos mdulos de entradas e tambm recebem comandos e os dados via comunicao em rede (quando usada). Em seguida executa as operaes previamente inseridas na memria de programa pelo usurio e atualiza as sadas digitais e analgicas. A Figura 3.2 apresenta alguns modelos de CPUs.

Figura 3.2 Exemplos de CPUs.

A memria o local onde so armazenados os dados referentes ao funcionamento do sistema e armazenamento de informaes necessrias ao usurio. dividida em duas partes: Instrues de programa executivo que controla as atividades da CPU (Firmware e rascunho do sistema); Instrues de programa de aplicao do usurio (Programa de aplicao e Tabela de dados). A Figura 3.3 apresenta a localizao da memria em um modelo de CLP.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

15

Figura 3.3 - Modelo de localizao da memria em um modelo de CLP.

Os mdulos de I/Os possibilitam a interface entre os dispositivos do usurio com a CPU. Existe uma grande variedade de mdulos de entrada e sada: 24Vdc, 220Vac entrada analgica (tenso ou corrente), sada analgica (tenso ou corrente), mdulos para controle de malha, mdulos de comunicao, etc. A Figura 3.4 apresenta um carto de entrada e a Figura 3.5 apresenta um carto de sada.

Figura 3.4 Modelo de carto de entrada.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

16

Figura 3.5 Modelo de carto de sada.

A fonte de alimentao fornece todos os nveis de tenso exigidos para as operaes internas do CLP. Existem casos que uma segunda fonte necessria devido ao aumento de consumo com a expanso dos mdulos de sada. Certos modelos de CLPs so projetados para operarem com uma tenso de alimentao de 220Vac, outros trabalham com 24Vdc. A Figura 3.6 apresenta alguns modelos de fonte de alimentao de CLPs.

Figura 3.6 Modelos de fontes de alimentao para CLPs.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

17

As interfaces so os dispositivos que realizam a interface Homem/Mquina conectados aos CLPs. Servem para programao local e tambm para monitorar o andamento do programa, as variveis internas e os dispositivos de campo. Podem ser portteis ou no. Alguns exemplos so: IHM; Panel View; PC; Impressoras; Mdulos de programao; Etc.

A Figura 3.7 apresenta algumas interfaces.

Figura 3.7 Interfaces de PLCs.

Os CLPs classificam-se de acordo com os pontos de I/Os e a quantidade de memria de programao disponvel. A Tabela 3.1 apresenta a classificao.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

18

Tabela 3.1 Classificao de CLPs. Tipo Micros CLPs Pequenos CLPs CLPs Mdios CLPs Grandes Pontos de I/O At 64 De 64 a 512 pontos Quantidade de Memria At 2 Kwords At 4 Kwords

De 256 a 2048 pontos Dezenas de Kwords Acima de 2048 pontos Centenas de Kwords

Entre os Micros e Pequenos CLPs, ainda possvel encontrar outra diviso: - Compactos: que tm quantidade fixa de pontos de I/O; - Modulares: que permitem a configurao, por parte do usurio, da quantidade e combinao dos pontos de I/O. Em alguns CLPs Compactos, possvel a adio de pontos de I/O por meio de mdulos de expanso, com limite determinado pelo fabricante, porm apresentam poucas opes de configurao.

O SCAN do CLP em modo de execuo pode ser descrito resumidamente pelo fluxograma apresentado na Figura 3.8. SCAN TIME (Tempo de Varredura) a quantidade de tempo consumida para realizar as tarefas especficas do SCAN. Tipicamente medido em ms. Os fatores que tem influncia direta sobre o Scan Time so: Quantidade de mdulos e pontos de entrada; Conexo de dispositivos perifricos; Tamanho do programa de aplicao e tipo de instrues utilizadas; Quantidade de mdulos e pontos de sada.

SCAN RATE (Taxa de SCAN) a quantidade de tempo consumida para realizar as tarefas em relao quantidade de memria. Tipicamente medido em ms/K. Se um CLP tem um SCAN RATE de 8ms/K e o programa possui 6K de memria, ento o CLP leva 48 ms para completar um SCAN de programa.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

19

Atualizao das Entradas (Leitura das Entradas)

Execuo do Programa de Aplicao

Atualizao das Sadas (Escrita das Sadas)

Realizao de Diagnsticos

Figura 3.8 Fluxograma Bsico do Sistema de Operao de um CLP.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

20

QUESTES

1 Quais so os componentes bsicos da arquitetura de um C.L.P.? 2 Defina CPU. 3 Defina Memria. Quais so os tipos presentes em um CLP? 4 Defina cartes/mdulos de I/Os. Quais so os principais tipos? 5 Defina fonte de alimentao. Quais so os principais tipos? 6 Defina interface. Quais so os principais tipos? 7 Quais so os tipos de CLPs? Como so qualificados? 8 Defina SCAN. 9 Defina SCAN TIME? 10 Se um programa de CLP tem 7,5K e o SCAN RATE de 7,5 ms/K, qual ser o tempo de atualizao de I/Os?

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

21

4 C.P.U., Cartes I/O, Fonte e Racks


C.P.U. A Figura 4.1 ilustra a estrutura bsica de uma C.P.U. composta de: Processador; Sistema de Memria; Circuitos Auxiliares de Controle.

Figura 4.1 Estrutura bsica de uma C.P.U.

Processador. O processador responsvel pelo gerenciamento total do sistema, controlando os barramentos de endereos, de dados e de controle. Interpreta e executa as instrues inseridas no programa inserido pelo usurio, controla a comunicao com dispositivos externos e verifica toda a integridade do sistema (diagnstico). O desenvolvimento tecnolgico de um CLP depende principalmente do processador utilizado que pode ser desde um microprocessador convencional (8051 , 80286, 80386, PIC16F877, etc.) at um processador dedicado. H C.P.U.s que possuem processamento paralelo e outros co-processadores que auxiliam em funes especficas (operaes complexas). C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

22

Sistema de Memria. A Figura 4.2 apresenta a estrutura da memria em C.L.P.

Sistema de Memria

Memria de Operao

Memria de Aplicao

Programa de Execuo

Rascunho do Sistema

Programa de Aplicao

Tabela de Dados

Programa desenvolvido pelo fabricante, o qual determina como o sistema deve operar. Responsvel pela traduo para a linguagem de mquina

rea da memria reservada para o armazenamento temporrio. De acesso rpido, sendo do tipo RAM.

rea onde armazenado o programa desenvolvido pelo usurio.

rea que armazena dados que sero utilizados pelo Programa de Aplicao. Tipo RAM sendo alimentada com bateria.

Figura 4.2 Estrutura da memria em um C.L.P.

Existem casos em que a C.P.U. fornecida com uma quantidade bsica de memria, a qual pode ser expandida por meio de cartuchos. As caractersticas normalmente apresentadas nas especificaes tcnicas de uma CPU e que devem ser consideradas so: Capacidade de memria: quantidade mxima que a CPU pode conter,

sendo indicadas separadamente: Memria Total para Programa de Aplicao e Memria Total para Tabela de Dados; Tipo de Memria: forma de armazenamento para o Programa de

Aplicao (EPROM, EEPROM, etc.); Bateria de Backup: indica se a CPU permite utilizao de bateria para

manuteno da Tabela de Dados mesmo sem alimentao; Pontos de I/O total: Quantidade mxima de pontos de I/O que a CPU

pode controlar;

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

23

Tempo de Processamento: tempo necessrio para a CPU executar

uma instruo booleana (contato ou bobina); Linguagem de Programao: indica as linguagens de programao que

pode ser utilizada; Recursos de Programao: indica os recursos que possam ser

utilizados, por exemplo: temporizadores, contadores, PID, etc.; Portas de comunicao: quantidade de portas existentes na CPU,

indicando tipo (RS-232, RS-422, etc.) e protocolos suportados; Potncia consumida da base.

Cartes de I/O. Os mdulos so responsveis pela comunicao entre a C.P.U. e o mundo externo, alm de garantir isolao e proteo C.P.U. So divididos em mdulos de entrada (recebem sinais e os convertem em nveis adequados para a CPU) e mdulos de sada (enviam sinais dependendo do programa de aplicao ou force pelo usurio), existem tambm os mdulos combinados (CLP modulares). Normalmente os mdulos de I/O so dotados de: mdulos; Indicadores de STATUS, e indicadores de falhas (diagnstico); Conectores removveis que reduzem o tempo de manuteno. Isolao ptica para proteo da CPU, fonte de alimentao e demais

A Figura 4.3 apresenta tais caractersticas.

Figura 4.3 Caractersticas dos mdulos de I/O. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

24

Os mdulos de I/O so classificados em: Discretos: Tratam sinais digitais (on/off ou 0/1); Cada ponto corresponde a um bit de endereamento da Tabela de Dados. Analgicos (sinais): Tratam sinais analgicos (tenso, corrente); Convertem sinais analgicos em sinais digitais para o processamento; Cada entrada ou sada so tratadas como canais; Quantidade de bits relativo resoluo; Cada mdulo consome uma quantidade de I/O

disponveis de acordo com o fabricante.

Mdulos discretos de entrada. Os mdulos discretos de entrada apresentam as seguintes caractersticas: Filtros de sinal que eliminam efeitos de 'bounces' (pulsos indesejados,

rebatimentos); Tipo e faixa de tenso de entrada: AC (110V ou 220V), DC (12V, 24V

ou 125V), AC/DC - 'either' (12V, 24V, 110V), TTL ou 'contato seco'; As entradas DC podem ter configurao current sinking (consumidora

de corrente - comum negativo), current sourcing (fornecedora de corrente - comum positivo) ou current sinking/sourcing (quando possuem um opto-acoplador com dois LEDs em antiparalelo). Alm dessas caractersticas, os seguintes itens so normalmente

apresentados nas especificaes tcnicas dos mdulos discretos de entrada: Tenso mxima para o nvel 0: mxima tenso permitida que o mdulo

reconhece como nvel 0; Tenso mnima para o nvel 1: mnima tenso permitida que o mdulo

reconhece como nvel 1; Tenso de pico: mxima tenso permitida para cada ponto,

normalmente com limite de tempo para que se mantenha nesse valor;

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

25

nvel 1;

Corrente mxima em nvel 0: mxima corrente que a entrada consome

operando em nvel 0; Corrente mnima em nvel 1: mnima corrente que a entrada consome

operando em nvel 1; Corrente de entrada: corrente tpica de operao para uma entrada em

Impedncia de entrada: resistncia que cada entrada representa para o

dispositivo a ela conectado; Tempo de resposta de 0 para 1: tempo (tpico) que o mdulo necessita

para reconhecer a transio de uma entrada, do nvel 0 para o 1; Tempo de resposta de 1 para 0: tempo (tpico) que o mdulo necessita

para reconhecer a transio de uma entrada, do nvel 1 para o 0; Pontos comuns por mdulo: quantidade de 'pontos comuns'

disponveis no mdulo, indicando se eles so isolados ou no; Freqncia AC: freqncia em que o mdulo pode operar. Somente

para mdulos de entrada AC; Potncia consumida da base: especifica a corrente em que o mdulo

consome da fonte de alimentao; Necessidade de alimentao externa.

Mdulos discretos de sada. Os mdulos discretos de sada normalmente apresentam as seguintes caractersticas: (NA/NF). Os seguintes itens so normalmente apresentados nas especificaes tcnicas dos mdulos discretos de sada: Tenso de pico: tenso mxima permitida para cada ponto de sada, Tipo e faixa de tenso de sada: AC - triac ou scr (24V, 110V ou 220V),

DC transistor bipolar ou MOS-FET (5V, 12V, 24V ou 125V) ou rel (AC e DC); As sadas DC podem ser tipo sinking (consumidora - comum negativo)

ou sourcing (fornecedora - comum positivo); As sadas rel podem ter contatos simples (NA) ou reversveis

normalmente com limite de tempo para permanncia neste valor; C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

26

sada;

Queda de tenso: indica a tenso medida entre um ponto de sada

(enquanto acionado) e o comum, com carga mxima; Corrente mxima: mxima corrente permitida para cada ponto de

Corrente de pico: mxima corrente que pode ser fornecida carga por

um curto intervalo de tempo durante a transio de 0 para 1; Corrente de fuga: mxima corrente que poder circular pelo dispositivo

de sada com o ponto de sada no acionado; Carga mnima: menor corrente que o ponto de sada deve fornecer

carga para operar adequadamente; Tempo de resposta de 0 para 1: tempo (tpico) que o mdulo necessita

para realizar a transio de uma sada, do nvel 0 para o 1; Tempo de resposta de 1 para 0: tempo (tpico) que o mdulo necessita

para realizar a transio de uma sada, do nvel 1 para o 0; Pontos comuns por mdulo: quantidade de pontos comuns disponveis

no mdulo, indicando se eles so isolados ou no; Freqncia AC: freqncia em que o mdulo pode operar. Somente

para mdulos de sada AC e rel; Potncia consumida da base: especifica a corrente em que o mdulo

consome da fonte de alimentao; Necessidade de alimentao externa; Fusveis de proteo.

Mdulos analgicos de entrada. Os mdulos analgicos de entrada normalmente apresentam as seguintes caractersticas: Filtro ativo para eliminao de possveis rudos presentes nos sinais; Alta impedncia de entrada para os canais com faixas de operao de

tenso, que possibilita conexo a uma vasta gama de dispositivos, eliminando problemas de incompatibilidade de sinais; Multiplexador para os canais de entrada, que determina o canal a ser

enviado ao conversor A/D;

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

27

Pt100);

Processador dedicado, responsvel pelo processamento e preciso do

sinal digital enviado C.P.U., alm de diagnsticos referentes ao mdulo; Tipo e faixa de operao dos canais: corrente (0-20mA, 4-20mA),

tenso (0-5V, 5V, 0-10V, 10V) ou termosensores (termopares, termoresistncias,

Um mesmo mdulo pode operar em mais de uma faixa, a qual

selecionada atravs de chaves ou jumpers internos ao mdulo. As caractersticas normalmente apresentadas nas especificaes tcnicas so: Isolao dos canais: isolados (isolao galvnica) possibilita conexo a

dispositivos com sada diferencial ou no isolados (comuns) um dos plos conectado ao terra da fonte; canal/scan; Erro de linearidade: preciso relativa da representao digital sobre a Resoluo: menor incremento possvel no valor analgico de entrada

que pode ser detectado pelo conversor A/D, normalmente expresso em bits; Tipo de converso: mtodo utilizado para converter o sinal analgico

em sinal digital, normalmente por aproximao sucessiva; Razo de atualizao: tempo necessrio para que os sinais analgicos

sejam digitalizados e disponibilizados para a C.P.U., normalmente expresso em

faixa de operao do sinal de entrada. Expressa em bits ou porcentagem; Erro mximo: erro mximo absoluto que pode haver entre a

representao digital e o valor do sinal analgico existente na entrada do canal; Pontos de I/O consumidos: quantidade de pontos de entrada que o

mdulo consome da C.P.U.; Potncia consumida da base; Fonte de alimentao externa.

Mdulos analgicos de sada. Os mdulos analgicos de sada normalmente apresentam as seguintes caractersticas: Tipo e faixa de operao dos canais: corrente (0-20mA, 4-20mA) ou

tenso (0-5V, 5V, 0-10V, 10V); C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

28

Um mesmo mdulo pode operar em mais de uma faixa, a qual

selecionada atravs de chaves ou jumpers internos ao mdulo. As caractersticas normalmente apresentadas nas especificaes tcnicas so: (comuns); especficos; Resoluo: o menor incremento que o dado enviado ao conversor D/A Impedncia de sada: apresenta as resistncias mnima e mxima a Isolao dos canais: isolados (isolao galvnica) ou no isolados

que o canal de sada pode ser conectado, para sinais de corrente e tenso

pode causar no valor analgico de sada, normalmente expresso em bits; Razo de atualizao: tempo necessrio para que os valores digitais

provenientes da C.P.U. sejam convertidos em sinais analgicos para as sadas, normalmente expresso em canal/scan; Erro de linearidade: preciso relativa da representao digital sobre a

faixa de operao do sinal de sada. Pode ser expressa em bits ou porcentagem; Erro mximo: erro mximo absoluto que pode haver entre a

representao digital e o valor analgico disponvel na sada do canal; Pontos de I/O consumidos: quantidade de pontos de sada que o

mdulo consome da C.P.U.; Potncia consumida da base; Fonte de alimentao externa.

Fonte de Alimentao A fonte de alimentao alm de fornecer todos os nveis de tenso para alimentao da C.P.U. e dos mdulos de I/O, funciona como um dispositivo de proteo. Atualmente, as fontes de alimentao dos CLPs utilizam tecnologia de chaveamento de freqncia (fontes chaveadas). Em alguns casos, a tenso de entrada possui ajuste automtico. As protees externas recomendadas para a fonte de alimentao dos CLPs variam conforme o fabricante, mas basicamente consiste de transformadores isoladores ou supressores de rudos de rede. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

29

Normalmente, as fontes dos CLPs proporcionam sada auxiliar de tenso em 24Vdc, com limite reduzido de corrente (300 800mA). A fonte de alimentao tem aspectos variados. Pode apresentar-se em conjunto com a CPU, como mdulo independente para ser conectado ao rack ou ser parte integrante do prprio rack. As caractersticas normalmente apresentadas nas especificaes tcnicas so: conexo; Potncia fornecida: mxima corrente fornecida ao barramento do Rack, Faixa de tenso de entrada: AC (85-132V, 170-264V, 85-264V), DC

(12V, 24V, 10-28V, 125V); Seleo de faixa de entrada: automtica, jumpers ou terminais de

normalmente relacionada tenso de 5Vdc, para alimentao dos mdulos de I/O e da CPU, se for o caso. Este valor utilizado no Clculo de Consumo de Potncia; Sada auxiliar de 24Vdc: apresenta as caractersticas da sada auxiliar

de 24Vdc (somente para fontes AC).

Com relao ao Clculo de Consumo de Potncia, cada mdulo especifica a corrente consumida e se do rack (5Vdc) ou de uma fonte de alimentao externa (24Vdc). Faz-se necessrio determinar qual a corrente consumida pelos mdulos que compe o CLP e se ela ultrapassa o limite de corrente que a Fonte de Alimentao pode fornecer. Sabendo que: P = U.I Por exemplo: Rack de 3, 4, 6 slots = fonte de alimentao de 1550mA; Rack de 9 slots = fonte de alimentao de 2.600mA; CPU = consome 120mA (5Vdc rack); Mdulo com 16 pontos de entrada = 100mA (5Vdc rack); Mdulo com 16 pontos de sada = 200mA (5Vdc rack) e 80mA (24Vdc-fonte externa).

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

30

Para montar um sistema, utiliza-se:


SLOT CPU 0 1 2 3 Consumo Total Potncia Mdulo CPU Entrada Sada Sada Sada 5 Vdc (mA) 120 100 200 200 200 820 4,1 W 24Vdc (mA) ----80 80 80 240 5,76 W

Portanto para montar o CLP em questo so necessrios 5 slots e 820mA do barramento do rack e uma fonte externa de 24Vdc com 240mA. Assim conclui-se que a base com 6 slots adequada, sendo necessrio utilizao de uma fonte de alimentao externa.

Rack ou Base A base ou rack responsvel pela sustentao mecnica dos elementos que compem o CLP. Contm o barramento que faz a conexo eltrica entre eles, no qual esto presentes os sinais de dados, endereo e controle, alm dos nveis de tenso fornecidos pela fonte de alimentao. A Figura 4.4 apresenta um modelo de rack.

Figura 4.4 Modelo de rack.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

31

Cada posio do rack, possvel de receber um mdulo de I/O ou a CPU denominada de slots (ranhura/abertura). Normalmente os slots ficam dispostos conforme a Figura 4.5.

Fonte de Alimentao

SLOT DA CPU SLOT 0 SLOT 1 SLOT 2 SLOT 3 SLOT 4

Figura 4.5 Disposio dos slots em um rack.

Pode ocorrer a expanso de racks para um total controle do sistema das formas: expanso local, conforme apresentado na Figura 4.6, e expanso remota, conforme apresentado na Figura 4.7.

4.6 Modelo de expanso local de racks.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

32

4.7 Modelo de expanso remota de racks.

A expanso local utilizada quando se necessita de pontos de I/O em quantidade superior ao fornecido pela base local ou quando a fonte de alimentao local no suporta a quantidade de potncia necessria ao sistema. O acrscimo dos mdulos feito por meio de bases de expanso situadas localmente (prximo base local). Nas bases de expanso no h CPU, apenas fonte de alimentao. A expanso remota utilizada quando os dispositivos de entrada/sada esto localizados distantes da base local ou quando se necessita de quantidade superior de pontos de I/O suportada pela base local e bases de expanso locais. Nas bases de expanso remota no h CPU apenas fonte de alimentao e mdulo especial de comunicao (Mdulo Remoto Escravo). O Mdulo Remoto Mestre instalado em um slot da base local. Com relao comunicao, de acordo com a aplicao pode ser necessrio a conexo do CLP com IHMs, PCs ou redes de CLPs. Nestes casos, faz-se necessrio configur-los corretamente para atender s necessidades de

comunicao exigidas. Normalmente, as CPUs contm pelo menos uma porta serial. De maneira geral, as caractersticas que devem ser consideradas durante a configurao do CLP so:

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

33

Hardware: o Padro da porta serial: RS-232: utiliza cabo par tranado em distncias de at 15m. o padro existente nas portas dos PCs; RS-422: Possui balanceamento de sinal (linhas de transmisso e recepo tem comuns independentes), proporcionando maior imunidade a rudos, maior

velocidade de transmisso e distncias mais longas (at 1.200m). A comunicao full-duplex (pode enviar e receber dados simultaneamente); RS-485: Similar ao RS-422, porm a comunicao halfduplex (pode apenas enviar ou receber dados em um mesmo instante). Software: o Protocolo de comunicao: Determina a forma de transmisso de dados (formato dos dados, temporizao, sinais de controle utilizados, etc.); o Taxa de transmisso (Baud Rate): Determina a velocidade, expressa em bits por segundo (bps).

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

34

QUESTES

1 O que compreende a estrutura bsica de uma C.P.U.? 2 Quais so as caractersticas do processador? 3 Como subdividido o sistema de memria? Defina cada subdiviso. 4 Quais so as principais especificaes tcnicas da C.P.U.? 5 Quais so as principais especificaes tcnicas do mdulo discreto de entrada? 6 Quais so as principais especificaes tcnicas do mdulo discreto de sada? 7 Quais so as principais especificaes tcnicas do mdulo analgico de entrada? 8 Quais so as principais especificaes tcnicas do mdulo analgico de sada? 9 Quais so as caractersticas de uma fonte de alimentao? 10 O que SLOT? Defina os modos de expanso de RACKS. 11 - Quais so as caractersticas de hardware em comunicao de C.L.P.? Defina as caractersticas e seus pontos principais.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

35

5 Funcionamento e Utilizao do Micro C.L.P. LOGO!


O LOGO! o mdulo lgico universal da Siemens, LOGO! um micro CLP com linguagem de programao baseada em diagrama de blocos. A Figura 5.1 apresenta o LOGO!

Figura 5.1 Micro CLP LOGO! Siemens.

Os modelos de LOGO! disponveis so para 12 V DC, 24 V DC, 24 V AC e 230 V AC com: Variante padro com 6 entradas e 4 sadas integradas em 72 x 90 x 55mm; Variante sem display com 6 entradas e 4 sadas integradas em 72 x 90 x 55mm; Variante com 8 entradas e 4 sadas integradas em 72 x 90 x 55mm; Variante Long com 12 entradas e 8 sadas. Integrados em 126 x 90 x 55mm; Variante Bus com 12 entradas e 8 sadas, alm disso, conexo para Bus com AS-Interface, atravs de mais 4 entradas e mais 4 sadas no sistema de Bus integrado em 126 x 90 x 55 mm.

As nomenclaturas utilizadas para diferenciar o modelo no seu nome so: 12: Verso para 12 V; 24: Verso para 24 V;

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

36

230: Verso para 115/230 V; R: Sada de rels; C: Integrado relgio interruptor de horas; o: Variante sem display; L: nmero duplo de sadas e entradas; B11: Slave com conexo para Bus com AS-Interface;

A Tabela 5.1 apresenta um resumo dos modelos LOGO!

Tabela 5.1 Modelos de LOGO!

A estrutura do LOGO! est disposta como a Figura 5.2.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

37

Figura 5.2 Estrutura do LOGO!

Deve-se tomar alguns cuidados com relao instalao do LOGO!. Tais cuidados so: Estar atento s normas nacionais e regionais de instalao; Utilizar cabos com seco entre 1,5mm e 2,5mm; No apertar os bornes de conexo demais; Assentar os cabos da forma mais curta possvel; Se necessrios cabos longos, utilizar cabos blindados; Separar cabos CA e CC de alta tenso dos cabos de sinais de baixa tenso; Utilizar trilhos com perfil de 35mm de largura (DIN EM 50022);

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

38

No conectar uma alimentao externa paralela a uma sada DC em uma carga de sada se no utilizar diodo ou sistema de bloqueio de corrente de retorno.

Para montar o LOGO! em um painel de maneira correta deve-se: Colocar o LOGO! no trilho com perfil e reclinar levemente o LOGO! no trilho. A garra no lado traseiro do LOGO! deve engatar. O mecanismo para o engatar pode ser, dependendo do tipo do trilho com perfil, um pouco apertado. Se houver dificuldades durante o engatar, pode-se puxar um pouco a garra. Para desmontar o LOGO! de maneira correta deve-se: Introduzir uma chave de fenda no ilhs mostrado na Figura 5.3 e na parte final abaixo da garra e puxar a garra para baixo. Movimentar ligeiramente o LOGO! do trilho com perfil.

Figura 5.3 LOGO! em um perfil de quadro eltrico.

Para conectar a alimentao de tenso ao LOGO! faa como a Figura 5.4.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

39

Figura 5.4 Esquemas de alimentao para o LOGO!.

As entradas (chaves, sensores, teclas, barreiras pticas, etc.) devem ser ligadas conforme a Figura 5.5.

Figura 5.5 Esquemas de ligao das entradas para o LOGO!. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

40

Para conectar as entradas no LOGO! as mesmas devem possuir as caractersticas apresentadas na Tabela 5.2 para serem reconhecidas nos estados de conexo adequados.

Tabela 5.2 Nveis de tenso e corrente adequados para cada estado (1ou 0 on/off).

Durante a troca de estado deve haver um tempo mnimo para que o CLP possa reconhecer o estado solicitado. Esse tempo o tempo de ciclo. O tempo de ciclo pode ser calculado com o auxlio de um programa de teste conforme a Figura 5.6.

Figura 5.6 Programa de teste para clculo de tempo de ciclo.

E deve possuir os parmetros conforme a Figura 5.7.

Figura 5.7 Parametrizao para o programa de teste para clculo de tempo de ciclo.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

41

Deve-se ento partir o LOGO! e ligar o modo de parametrizao e verificar o valor do bloco B01, conforme a Figura 5.8.

Figura 5.8 Verificao da soma de impulsos em um ciclo de programa.

O valor recproco de fa o mesmo do tempo de ciclo do LOGO! com o programa atual que se encontra na memria. 1/fa = tempo de ciclo em s.

LOGO! possui entradas para funes de freqncias, so as entradas rpidas. As limitaes de comutao de 0 1 e de 1 0 (tempo de ciclo) no so

vlidas para as entradas rpidas. As entradas rpidas so: LOGO! Verso Padro: I5 / I6 ; LOGO! Verso L: I11 / I12.

Nos tipos de LOGO!24, LOGO!12/24RC e LOGO!12/24RCo as entradas I7 e I8 podem ser utilizadas como entradas analgicas utilizando as designaes AI1 e AI2. Quando ligar sinais analgicos sempre utilize condutores transpostos e colocar os sensores o mais prximo possvel do LOGO!.

As sadas do LOGO! (R) so rels. Quanto ao potencial, os contatos dos rels so separados da alimentao de tenso e das entradas. Nas sadas de rels podem ser conectados cargas diferentes, por ex. lmpadas, lmpadas fluorescentes, motores, protetores, etc. A carga conectada em um LOGO! (R) precisa apresentar as seguintes caractersticas: A corrente de comutao mxima depende do tipo de carga e do nmero desejado de manobras.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

42

No estado ligado (Q = 1) e em caso de carga hmica, deve ser conduzida uma corrente de no mximo 10 A (8 A tratando-se de 230 V AC), em caso de carga indutiva de no mximo 3 A (2 A tratando-se de 12/24 V AC/DC).

A Figura 5.9 apresenta o esquema de ligao para sadas em LOGO! com sada rel.

Figura 5.9 Esquema de ligao para sadas em LOGO! com sada rel.

Existe a variante de LOGO! com sada a transistores, pode-se reconhecer a variante LOGO! com sadas para transistores atravs da falta de letra da denominao do tipo R na nomenclatura. As sadas so resistentes a curto-circuito e resistentes sobrecarga. No necessria uma alimentao separada da tenso de funcionamento visto que LOGO! suporta a alimentao de tenso da carga. Porm a corrente de comutao em cada sada deve possuir no mximo 0,3 A. A Figura 5.10 apresenta o esquema de ligao para sadas em LOGO! com sada a transistores.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

43

Figura 5.10 Esquema de ligao para sadas em LOGO! com sada a transistores.

Existe a variante LOGO!.B11 o qual se refere comunicao entre LOGO! atravs de ASi-Bus. LOGO!...B11 pode ser integrado como slave (escravo) ASi em uma rede. Atravs de um cabo condutor bifilar pode-se fazer a leitura e processar 4 entradas suplementares com o auxlio do ASi-Bus e operar com 4 sadas suplementares para o master (mestre) do ASi-Bus dominante. Deve-se apenas efetuar a configurao de LOGO!...B11 no ASi-Bus com o ASi-Slave. LOGO! ...B11 deve estar registrado no Sistema ASi, ou seja, o Busmaster atribui ao LOGO! um endereo. Mas cuidado, o endereo ASi mutvel no mximo 10 vezes para todas as variantes ...B11 do LOGO!. Conecte o Cabo de conexo do bus no conector de tomada em anexo ou em um cabo de conexo de bus autorizado no sistema, se a polaridade estiver correta. Introduza a tomada cablada na interface caracterizada com AS-Interface, conforme a Figura 5.11.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

44

Figura 5.11 Ligao do LOGO! ... B11 com ASi-Bus.

A fim de que se possa utilizar a funo do ASi, o LOGO!...B11 precisa ser conhecido pelo Busmaster. O que ocorrer se ligar o LOGO!...B11 com a ligao do Bus. O master ou mestre reconhece o endereo do slave ou escravo. No caso de LOGO!...B11 o endereo pr-ajustado pela fbrica = 0. O master atribui um endereo novo desigual a 0. No existindo no sistema nenhum conflito a respeito do endereo ou s h um escravo com o endereo 0, no necessrio que dar outros passos. possvel ligar vrios grupos construtivos de escravos (por ex. LOGO!...B11) simultaneamente com o Bus.

LOGO! tambm possui mdulos de programao, que so cartes de memria que podem arquivar programas para serem lidos posteriormente. O programa memorizado no LOGO! pode ser copiado em um mdulo de programa. O mdulo de programa pode ser conectado em um outro LOGO! e desta forma pode-se copiar o programa em um outro LOGO!. Com o auxlio do mdulo de programa pode-se: Arquivar programas; Reproduzir programas; Enviar programas por correio; Escrever e testar programas no escritrio e depois transmitir para um outro LOGO! no armrio de distribuio.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

45

A localizao do mdulo apresentada na Figura 5.12.

Figura 5.12 Localizao do mdulo de programa em um LOGO!.

Existem dois tipos de mdulos de programa: Mdulo amarelo: Os programas podem ser lidos e escritos (editados); Mdulo vermelho: Os programas podem apenas ser escrito (impossvel editar). Rodam apenas conectados ao equipamento.

Para realizar a cpia do programa do LOGO! para o mdulo de programao deve-se realizar as seguintes aes:

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

46

Para realizar a cpia do programa do mdulo de programao para o LOGO! deve-se realizar as seguintes aes:

Para realizar uma programao eficiente e funcional deve-se estar atento, durante o planejamento, a dois aspectos: O nmero dos blocos conectados sucessivamente (nvel de embutimento); O espao de memria (ocupao da memria dos blocos).

A capacidade (ou o espao) de memria para funes especiais pode ser dividida em 4 zonas de memria: Par: Zona, na qual LOGO! memoriza os valores nominais, por ex. valores limites de um contador; RAM: Zona na qual LOGO! deposita os valores reais, por ex. estado do contador; Timer: Zona que o LOGO! utiliza para as funes de tempo, por ex. retardamento de desconexo; REM: Zona na qual LOGO! deposita valores atuais e reais a serem mantidos, como por ex. o valor de contagem de um contador de horas de servio. Em blocos com uso facultativo da funo de remanncia este setor da memria s ser ocupado, se tambm tiver sido ajustada remanncia. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

47

Um programa em LOGO! pode ocupar no mximo os recursos apresentados na Tabela 5.3.

Tabela 5.3 Recursos de memria disponveis. Blocos 56 Par 48 RAM 27 Timer 16 REM 15 Marcador 8

LOGO! supervisiona o uso da memria e oferece nas relaes de funo apenas funes para as quais ainda haja de fato memria suficiente. A Tabela 5.4 apresenta os recursos de memria de cada funo especial.

Tabela 5.4 Recursos de memria de cada funo.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

48

Um caminho de programa composto de uma srie de blocos de funes, que por sua vez comeam e terminam com um bloco terminal. O nmero de blocos em um caminho de programa descreve o nvel de embutimento. Blocos terminais so entradas e nvel (I, Ia, Hi, Lo), bem como sadas e marcadores (flags) (Q, Qa, M). No LOGO! os blocos terminais no so representados por meio de smbolo de bloco. Como se pode utilizar no mximo 58 blocos de funes no LOGO!, o nvel de embutimento mximo o seguinte: 56 blocos de funes + blocos de terminal = 58.

Programar transformar aplicao/circuito em programas de linguagem do LOGO! Para realizar a programao, deve-se ter conhecimento dos conceitos: Borne; Bloco.

BORNE (Co) refere-se s conexes e estados disponveis no LOGO!. A Tabela 5.5 apresenta os tipos de borne.

Tabela 5.5 Tipos de bornes

BLOCO (BN), representando funes (GF Funes bsicas e SF Funes especiais) as quais transformam as informaes de entrada em informaes de sada. A Figura 5.13 apresenta a estrutura do bloco.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

49

Figura 5.13 Estrutura de bloco.

O nmero do bloco ser introduzido assim que o bloco for inserido. O nmero importante para interligao entre blocos e facilidade em parametrizar sistemas. Vamos agora realizar uma programao manual do esquema representado pela Figura 5.14 para a fixao.

Figura 5.14 Esquema de ligao para exemplificao.

O sistema dever ser concludo conforme a Figura 5.15.

Figura 5.15 Sistema em LOGO! do exemplo proposto. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

50

Os passos a seguir devero ser realizados para a montagem completa do sistema. Em LOGO! deve-se iniciar pela sada do programa.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

51

Com relao parametrizao, Parametrizar realizar os ajustes de parmetros dos blocos de funes. Podem-se ajustar os parmetros nas seguintes condies: No modo de funcionamento Programar (Programa Parado); No modo de funcionamento Parametrizao (Programa em Funcionamento).

Para entrar no modo Parametrizao

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

52

Com relao partida no equipamento (colocar em modo RUN) deve-se:

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

53

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

54

QUESTES 1 O que LOGO!? 2 Quais so os cuidados com a instalao do LOGO!? 3 Desenhe as formas de alimentao do LOGO!. 4 Desenhe as formas de conectar as entradas no LOGO!. 5 Descreva a forma de definir o tempo de ciclo do LOGO!. 6 Desenhe as formas de conectar as sadas no LOGO!. 7 O que so mdulos de programa? Quais so as vantagens? Quais so os tipos? 8 Faa o clculo de memria e o nvel de embutimento para o seguinte programa.

9 O que Borne? O que Bloco? 10 Faa a programao manual, parametrize e d partida ao seguinte circuito. Descreva passo a passo.
1

EMERGNCIA_BOTAO_COGUMELO
2

V1
127 Vrms 60 Hz 0

LIGA
3

SENSOR_1
5 4

SENSOR_2

MOTOR

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

55

6 Blocos do LOGO! (LOGO! SOFT COMFORT)


O LOGO! Soft Comfort o software utilizado para programar LOGO! atravs de diagrama de blocos com simulao off line. O LOGO! Soft Comfort possui as seguintes vantagens: Criao simples e confortvel de programas de comutao; Ampla documentao de programas de comutao; Diversas funes de impresso; A mais baixa quota de avarias nos programas de comutao graas simulao no PC.

O LOGO! Soft Comfort possui a superfcie de operao conforme apresentada na Figura 6.1.

Figura 6.1 Superfcie de operao do LOGO! Soft Comfort.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

56

A superfcie de operao possui os seguintes itens:

Barra de Menu: Encentram-se os mais diversos comandos para editar e gerenciar os programas. Isto engloba tambm pr-ajustes e funes para a transmisso de programas.

Barra de cones: Permite acesso rpido e confortvel s mais importantes e mais utilizadas funes.

Barra de Ferramenta: Permite acesso rpido aos blocos e funes de programao.

Linha de Status: Encontram-se algumas informaes sobre a ferramenta ativa, o status do programa e o fator zoom ajustado, o lado da imagem geral da comutao e o aparelho LOGO! selecionado.

Superfcie de Programao: Na superfcie de programao sero ordenados os cones e vnculos do programa. Trata-se da janela de trabalho propriamente dita.

Barras de rolagem: Para no perder a noo geral de programas mais complexos as barras de rolagem auxiliam na visualizao da imagem do programa atravs de movimentao horizontal e vertical.

A barra de menu e suas ramificaes so apresentadas na Figura 6.2.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

57

Figura 6.2 Barra de Menu e suas ramificaes.

A barra de menu apresenta os seguintes campos: Arquivo: Sob o menu Arquivo sero executados comandos para gerenciar os arquivos, tais como: o Novo: Abre uma nova superfcie de programao para ser criado um novo programa. o Abrir: Abre uma tela para o usurio selecionar algum programa memorizado anteriormente para ser aberto. o Memorizar: Salva o programa com um nome e em um local determinados pelo usurio. Em caso de programa existente apenas salva o programa atual com o mesmo nome e endereo. o Memorizar sob: Mesmo sendo arquivo existente, abre uma tela para determinar nome e local onde o arquivo ser salvo. o Ajustar pgina: Abre uma janela na qual as configuraes (Formato da pgina, margens, alinhamento) da pgina para o programa podem ser estabelecidas. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

58

o Visualizar impresso: Pode ter uma idia de qual a imagem que o programa impresso ter. o Imprimir: D liberdade a opes para a seleo de impressoras e as propriedades da impresso. o Propriedades: Encontram-se 3 folhas de dados para

propriedades (geral, comentrios e estatsticas). Em geral pode-se dar entrada a dados (projeto ou dados internos da empresa) sobre o programa atual. Em comentrios podem ser depositadas descries do programa ou anotaes diversas. Na folha de dados estatsticas mostrado quando foi criado o programa e quando foi realizada a ltima modificao. o Finalizar: A aplicao do LOGO!Soft Comfort encerrada. Editar: Sob o menu Editar sero executados comandos para editar o programa, tais como: o Desfazer: As aes realizadas podem ser desfeitas, sempre a ltima ao ser desfeita primeira. o Restituir: Os atos desfeitos podem ser restitudos, sempre o ltimo ato desfeito primeiro. o Cancelar: Os objetos marcados sero cancelados (deletados). o Recortar: Os objetos marcados sero cancelados da superfcie de programao e sero transferidos para a rea de transferncia. o Copiar: Os objetos marcados sero copiados da superfcie de programao para a rea de transferncia. o Inserir: Os objetos da rea de transferncia sero inseridos na superfcie de programao. o Marcar tudo: Todos os objetos da superfcie de programao sero marcados. o Vai para bloco: Faz a exibio de uma relao de blocos utilizados. o Marcados no primeiro plano: Aciona um objeto marcado no primeiro plano. o Marcados no ltimo plano: Aciona um objeto marcado no ltimo plano. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

59

o Nomes de conexo: Abre uma janela para denominao das conexes (entradas e sadas). o Propriedades do bloco: Abre a janela de parmetros do bloco selecionado. Formato: Oferece possibilidades de formatao de textos e grupos de funes, tais como: o Fonte: Ajusta tipo da fonte, estilo da fonte, tamanho da fonte e cor da fonte. o Alinhar: Podem-se alinhar objetos de forma vertical e paisagem (horizontal). o Ajustar na grade: Auxlio para ordenar os objetos de forma clara. Pode-se ajustar a distncia dos pontos e a visibilidade da grade. o Alinhar na grade: Para eliminar deslocamentos desordenados de objetos. Viso: Pode-se ajustar o tamanho da representao do programa e aciona e cancela diversas janelas, tais aes so: o Zoom: Abre uma janela para selecionar qual o fator de ampliao de visualizao. o Ampliar: Aumenta a visualizao de acordo com passos definidos. o Reduzir: Reduz a visualizao de acordo com passos definidos. o Barra de Ferramentas: Aciona ou cancela a visualizao de barras na superfcie de operao. o Mensagens: exibe mensagens sobre o programa criado. o Linha de status: Aciona ou cancela a exibio do status do programa. o Tipos de ferramentas: Aciona ou cancela a exibio do nome da ferramenta aps ser posicionado e mantido por 1 segundo sobre a ferramenta. Extras: Neste menu pode-se encontrar ajustes de transmisso e ajustes do aparelho LOGO!, tais ajustes so: o Transmitir: Executa a transmisso do LOGO! e o PC.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

60

o Determinar LOGO!: O software calcula as necessidades do programa criado e seleciona a opo de LOGO! que atende as caractersticas. o Seleo de aparelho: Possibilita determinar qual LOGO! ser utilizado. o Ajustar relgio: Configurao de data e hora para o LOGO!. o Simulao: Liga a simulao do programa. o Parmetro de simulao: Abre uma janela para ajustes para os objetos da simulao, tais como tipo de entrada (tecla, chave ou freqncia). o Opes: Abre janela para opes, sendo: informaes gerais (nmero de pginas, idioma), monitor (exibio), imprimir (campos a serem impressos), interface (porta de comunicao), cores (cores de fundo e simulao) e simulao (exibio dos estados e valores). Ajuda: A ajuda composta de contedo, centro de atualizao de software (Update Center) e Informaes sobre o software.

A barra dos cones apenas um facilitador da barra de menu. A barra de cones apresentada na Figura 6.3.

Novo

Abrir

Salvar

Recortar

Copiar

Colar

Desfazer

Restaurar

Alinhar vertical

Alinhar Horizontal

Download

Upload

Ampliar

Reduzir

Figura 6.3 Barra de cones.

A barra de ferramentas apresentada na Figura 6.4.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

61

Ferramenta de Seleo

Recortar

Constantes e Bornes

Funes Especiais

Ferramenta de Texto

Ligar

Funes Bsicas

Simulao

Figura 6.4 Barra de ferramentas.

A ferramenta de seleo serve para selecionar e mover objetos (blocos de funes, textos e linhas de ligao). A ferramenta de texto serve para dispor ou modificar textos e comentrios. A ferramenta de recortar serve para separar e ligar novamente ligaes entre blocos de funo. A ferramenta de ligar serve para conectar as entradas e sadas dos blocos de funes. Constantes e Bornes serve para inserir blocos de entradas, blocos de sadas, marcadores ou constantes (high, low). Funes bsicas serve para dispor as funes bsicas para serem inseridas. Funes especiais serve para dispor as funes especiais para serem inseridas. A ferramenta de simulao serve para iniciar a simulao do programa ela abre um menu com as entradas e sadas disponveis no programa.

Com relao s FUNES BSICAS, elas podem ser caracterizadas como booleanas (lgicas). As FUNES BSICAS - BOOLEANAS so:

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

62

A sada Q ser invertida em relao entrada, ou seja, se a entrada for 0 (desligada), a sada ser 1 (ligada), se a entrada for 1, a sada ser 0.

A sada Q somente ser 1 (ligada), se e somente se todas as entradas forem 1. Se alguma entrada no for conectada, ser determinada como 1.

A sada Q somente ser 0, se e somente se todas as entradas forem 1. Se alguma entrada no for conectada, ser determinada como 1.

A sada Q ser 1 (ligada), se pelo menos uma das entradas for 1. Se alguma entrada no for conectada, ser determinada como 0.

A sada Q ser 0 (desligada), se pelo menos uma das entradas for 1. Se todas as entradas forem 0 a sada ser 1. Se alguma entrada no for conectada, ser determinada como 0.

A sada Q somente ser 1, se e somente se as entradas tiverem valores diferentes. Se alguma entrada no for conectada, ser determinada como 0.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

63

A sada Q somente ser 1 (ligada), se e somente se todas as entradas forem 1 e se no ciclo anterior ao menos uma entrada tiver tido o estado 0.

A sada Q somente ser 0, se e somente se todas as entradas forem 1 e no ciclo anterior ao menos uma entrada tiver tido o estado 0.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

64

Com relao s FUNES ESPECIAIS, elas podem ser caracterizadas como reteno, temporizao, contagem, freqncia e miscelneas. As FUNES ESPECIAIS - RETENO so:

Rel de Automanuteno: Se a entrada S for colocada em 1 sada ficar em 1, se a entrada R for colocada em 1 sada ficar em 0 e se ambas estiverem em 1 a sada ficar em 0 (R tem prioridade em relao a S).

Rel de Impulso de Corrente: Tanto para setar como para ressetar a sada Q, deve-se realizar impulsos na entrada Trg. Cada vez que Trg comutar de 0 para 1, a sada Q mudar seu estado. A entrada R ressetar a sada Q.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

65

As FUNES ESPECIAIS TEMPORIZAO so:


Retardamento de Conexo: Quando a entrada Trg vai de 0 para 1, o Ta (tempo atual) comear a correr. Se o estado na entrada Trg permanecer longo o suficiente em 1, depois do transcurso do tempo T a sada ser setada em 1. Se o estado na entrada Trg mudar antes do transcurso do tempo novamente para 0, o tempo ser resetado novamente. A sada ser setada novamente em 0, se houver o estado 0 na entrada Trg.

Retardamento de Desconexo: Quando a entrada Trg vai de 1 para 0, ser dado partida Ta, a sada contudo permanecer setada. Se Ta atravs de T atingir o valor ajustado (Ta=T), a sada Q ser resetada para o estado 0. Se a entrada Trg acionar e desacionar novamente, ser dado novamente partida ao tempo Ta. A entrada R (reset) coloca o Ta e a sada na posio inicial.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

66

Retardamento de Conexo a ser Memorizado: Quando a entrada Trg alterar de 0 para 1, o tempo atual Ta comear a correr. Quando Ta = T, a sada Q ser setada em 1. Um acionamento novo na entrada Trg no ir influenciar Ta. A sada e o tempo Ta sero resetados agora novamente para 0, se a entrada R for para 1.

Retardamento de Conexo e Desconexo: Quando a entrada Trg alterar de 0 para 1, o tempo Ta se iniciar, se o estado permanecer na entrada Trg em 1 durante TH, a sada ser setada em 1. Se o estado na entrada mudar novamente para 0, o Ta comear a transcorrer, se o estado permanecer em 0 durante TL, a sada ser setada em 0.

Rel de Contato Passageiro: Se a entrada Trg for de 0 para 1, a sada Q ir para 1 imediatamente e o tempo Ta comear a correr, quando Ta = T, a sada Q ser ressetada. Se antes do tempo T a entrada Trg mudar de 1 para 0, a sada tambm ir a 0.

Rel de Contato Passageiro Gatilhado por Flanco: Quando a entrada Trg ir de 0 para 1, a sada Q ir para 1 e o tempo Ta se iniciar. Se Ta = T, a sada Q ir para 0. Se a entrada Trg for de 0 para 1 antes do tempo Ta = T, ento o tempo Ta ser ressetado.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

67

Interruptor de Hora para Semana: Cada interruptor de tempo para semana possui trs cames de ajuste por meio do qual pode-se parametrizar uma janela de tempo.

Interruptor de Tempo para o Ano: Cada interruptor de tempo para o ano tem um tempo de acionamento e de desacionamento. Em uma determinada hora de acionamento o interruptor de tempo para o ano ir desacionar a sada. A data de desacionamento caracteriza o dia no qual a sada ser novamente colocada em 0.

As FUNES ESPECIAIS CONTAGEM so:


Contador Crescente e Decrescente: A cada borda de subida em Cnt o contador ser aumentado em 1 (Dir = 0) ou diminudo em 1 (Dir = 1). Se o valor da contagem interna for igual ou maior do que o valor predeterminado, a sada Q ser setada em 1. Com a entrada R podese colocar na posio inicial.

Contador de Horas de Servio: Enquanto En tiver o valor 1, LOGO! calcular o tempo transcorrido e o tempo restante MN. Se o tempo residual que tiver restado MN for igual a 0, a sada Q ser setada para 1. Com R=1 resete a sada Q. Continuar a ser feita contagem do contador interno OT. Com Ral=1 resete a sada Q. O contador interno OT ser colocado de volta em 0.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

68

As FUNES ESPECIAIS FREQNCIA so:


Gerador de Impulsos Simtrico: Atravs do parmetro T define quanto tempo dever durar o tempo do acionamento e do desacionamento. Atravs da entrada En, acione o gerador de impulsos. O gerador de impulsos coloca para o tempo T a sada em 1, finalmente para o tempo T a sada em 0 etc., at que a En = 0.

Gerador de Impulsos Assncrono: Atravs do parmetro TH e TL podem ser ajustados a durao do impulso e a pausa do impulso. A entrada INV permite uma inverso da sada. EM ativa o sistema.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

69

Gerador de Sinal Aleatrio: Se En = 1, ser determinado um tempo entre 0 s e TH. Se En permanecer no mnimo pelo tempo de acionamento do tempo em 1, a sada ser setada em 1. Se En = 0, ser determinado um tempo entre 0s e TL. Se En permanecer no mnimo pelo tempo de durao do tempo de desacionamento em 0, a sada ser setada em 0.

Interruptor de Valor Limiar de Freqncia.

As FUNES ESPECIAIS ANALGICO so:


Interruptor de Valor Limiar Analgico: A funo faz a leitura do valor
analgico AI1 ou AI2, ao qual o parmetro Offset ser adicionado ao seu valor e este valor ser multiplicado por ampliao. Se este valor ultrapassar o limite de conexo Q ser 1, se o valor ultrapassar o limite de desconexo Q ser 0.

Comparador Analgico.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

70

As FUNES ESPECIAIS MISCELNEAS so: Interruptor de Luz de Escada: Se Trg = 1, o tempo atual Ta comea a correr e a sada Q ser 1. 15 s antes de Ta ter atingido o tempo T, a sada Q fica 1 s em 0. Atingindo Ta o tempo T, a sada Q ser resetada em 0. Um novo conectar na entrada Trg durante o tempo no qual Ta corre, ir resetar Ta (possibilidade de regatilho).

Atuador Confortvel: Se Trg = 1, o tempo atual Ta comea a correr e a sada Q ser 1. Se Ta atingir o tempo TH, a sada Q ser 0. Se em Trg o estado 0 mudar para 1, e 1 no mnimo permanece setado para o tempo TL, ser ativada a funo de luz permanente e a sada Q ser acionada para um tempo permanente. Um novo acionar na entrada Trg resetar TH e a sada Q ser desacionada. Texto de Aviso: Se En = 1, ser feito uma sada do texto de aviso parametrizado no monitor no modo run. Se o estado na entrada mudar para 0, o texto de aviso ser ocultado. Se forem disparadas diversas funes de texto de aviso com En=1, a mensagem que tiver a maior prioridade ser exibida.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

71

QUESTES 1 O que LOGO! Soft Comfort e quais as suas vantagens? 2 Do que composta a superfcie de operao? 3 Como composta a barra de menu? 4 Do que composta a barra de cones? 5 Como composta a barra de ferramenta? 6 Descreva as funes bsicas (booleanas). 7 Descreva as funes bsicas (reteno). 8 Descreva as funes bsicas (temporizao). 9 Descreva as funes bsicas (contagem). 10 Descreva as funes bsicas (analgica). 11 Descreva as funes bsicas (miscelneas). 12 Faa a programao, parametrize e salve o seguinte circuito.
1

EMERGNCIA_BOTAO_COGUMELO
2

V1
127 Vrms 60 Hz 0

LIGA
3

SENSOR_1
5 4

SENSOR_2

MOTOR

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

72

7 Programas Bsicos (Exerccios)


Este captulo destina-se prtica de exerccios para o entendimento da teoria e aplicao do equipamento em ambientes imaginrios. Os exerccios iro estar divididos em caractersticas de blocos de funes para facilitar na compreenso.

1) Funes Bsicas Booleanas Crie, simule e salve os seguintes programas (salve como 1a, 1b, 1c ... ). a)
1

Key = A

J1

V1

12 V

12V_10W

X1

b)
3

V1
12 V 1

J1
Key = A

J2
Key = A

X1
12V_10W

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

73

c)
2

V1
12 V

J1
Key = A

J2
Key = A

X1
12V_10W

d)
2

V1
12 V 1

J1
Key = A

X1
12V_10W 0

X2
12V_10W

e)
2

J1
Key = A 1

V1
12 V

J2
Key = A 3

J3
Key = A

X1
12V_10W

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

74

f)
2

J1
Key = Space

V1
12 V 1 3

X1
12V_10W 0

X2
12V_10W

Obs: Fisicamente existe apenas um boto com dois contatos N.A. que acionam as lmpadas de mquina parada e mquina funcionando.

g)
1

Key = A

J1
2

V1

Key = A

J2
3

12 V

Key = A 0

J3

Key = A 4

J4

12V_10W

X1

h)
1

Key = A

J1

J2 V1
Key = A 12 V 6

K1 X1
12V_10W
K

EMR011A12 0

Obs: O componente K1 um contator e utiliza-se um contato N.A. para selar o boto J2.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

75

i)
1

J1
Key = A 3

J2 V1
12 V 6 Key = A

J3
Key = A

J4
Key = A 5

J5
Key = A 0 2

J6
Key = A

J7
Key = A

X1
12V_10W

j)
1

J1
Key = A 3

J2
Key = A

J3 V1
12 V 6 Key = A

J4
Key = A 2

X1
12V_10W 0

k) Monte um circuito para realizar teste de lmpadas em um painel de uma mquina. A mquina possui 4 lmpadas e devem ser testadas por 1 boto N.A. l) Projete um circuito lgico para acionar uma lmpada sempre que uma ou todas as 3 chaves estejam acionadas. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

76

2) Funes Especiais Reteno Senha Criar um programa que permita energizar a solenide da tranca de um cofre se for pressionado quatro botes, sendo um de cada vez. A energizao se dar, aps pressionado o quarto boto, caso os mesmos tenham sido identificados.

Motor Liga/Desliga Utilizando apenas um boto N.A. ligue e desligue um motor eltrico.

3) Funes Especiais Temporizao Pisca-Pisca Desenvolver um pisca-pisca com controle de tempo diferente para ligar e desligar. Conforme: a1) ligado 2 s, desligado 4 s; a2) ligado 4 s, desligado 2 s; a3) ligado 1 s, desligado 2 s.

4) Funes Especiais Contagem Rotao de Motor Desenvolva um programa para determinar, a partir de um encoder, a rotao de um motor (r.p.m.). Caracterstica do encoder: 1 pulso por volta. Se a rotao for maior que 3.600 r.p.m., deve-se acionar um alarme.

5) Funes Especiais Freqncia Controle de Velocidade Um motor alimentado por 3 contatores sendo cada um alimentado com as freqncias de 40 Hz, 60Hz e 80Hz. Faa o programa do sistema. Obs.: aps os contatores, existe um dispositivo de converso de onda quadrada para senide automaticamente.

Medio de Velocidade Um motor possui um sensor que verifica uma roda que emite um sinal a cada volta. Faa o programa que detecte se o motor est abaixo de 1500rpm ou acima de 1700rpm. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

77

6) Funes Especiais Analgicas Controle de Temperatura Desenvolva um programa para controlar uma bomba de resfriamento, tal que ela seja acionada quando a temperatura for maior ou igual a 500C e seja desligada quando a temperatura for menor ou igual a 300C. O sensor utilizado um termopar com: 4 20 mA; 0 640 C.

7) Funes Especiais Miscelneas a) Monte um programa que realize a iluminao de um andar em um prdio de maneira econmica. b) Monte um programa que ligue um motor e avise no painel do LOGO! quando o motor est ligado e quando o motor est desligado.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

78

8 Programas complexos (Exerccios)

1-Sistema de Partida Estrela-Tringulo Um motor trifsico deve ser acionado por meio de partida estrela-tringulo. Para isto so utilizados trs contatores (acionamento geral, chaveamento estrela e chaveamento tringulo) e uma botoeira. O sistema automatizado deve apresentar o seguinte comportamento: desligado; ao ser confirmado o desligamento do contator de chaveamento estrela, ao ser acionada a botoeira pela primeira vez, os contatores de

acionamento geral e chaveamento estrela so acionados; dois segundos aps, apenas o contator de chaveamento estrela

o contator de chaveamento tringulo acionado; os contatores de acionamento geral e chaveamento tringulo

permanecem acionados; a qualquer instante, um novo acionamento da botoeira ocasiona o

desligamento dos contatores acionados, retornando condio inicial.

2-Porto Automtico O acionamento de um porto deve ser realizado automaticamente. Por intermdio de uma nica botoeira podem-se realizar a abertura e o fechamento total do porto, alm de interromper tais movimentos a qualquer instante. Acoplado mecanicamente ao porto, um motor eltrico realiza os movimentos pela inverso do sentido de rotao. O Sistema Automatizado deve proporcionar o seguinte comportamento: de curso 1); estando o porto totalmente aberto (fim de curso 1 acionado), ou tendo no primeiro acionamento da botoeira, inicia-se a abertura do porto; a parada da abertura se d por meio de novo acionamento da botoeira

(com o porto em movimento) ou pela abertura total do porto (acionamento do fim

sido interrompida a abertura, no prximo acionamento da botoeira inicia-se o fechamento do porto; C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

79

a parada do fechamento se d por meio de novo acionamento da

botoeira (com o porto em movimento) ou pelo fechamento total do porto (acionamento do fim de curso 2); estando o porto totalmente fechado (fim de curso 2 acionado), ou

tendo sido interrompido o fechamento, no prximo acionamento da botoeira inicia-se a abertura do porto; esta seqncia deve se repetir indefinidamente.

3-Semforo Um sistema de semforo simples deve ser utilizado para controlar o fluxo de veculos no cruzamento de duas vias (A e B). A seqncia de acionamento deve respeitar o tempo determinado para cada fase, conforme a Tabela x.1. Fase 1 2 3 4 5 6 Tempo (s) 30 5 2,5 30 5 2,5 Semforo A Verde Amarelo Vermelho Vermelho Vermelho Vermelho Semforo B Vermelho Vermelho Vermelho Verde Amarelo Vermelho

4-Segurana Qumica Um tcnico de laboratrio qumico possui 4 produtos qumicos, A B C D, quem devem ser guardados em um ou outro depsito. Por convenincia necessrio mover 1 ou mais produtos de um depsito para o outro de tempos em tempos. A natureza dos produtos tal, que perigoso guardar B com C juntos, a menos que A esteja no mesmo depsito. Tambm perigoso guardar C e D juntos se A no estiver no depsito. Escreva um programa que ligue uma sirene sempre que os sensores A B C D detectarem uma situao de perigo em qualquer um dos depsitos.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

80

5-Embalagem Uma esteira transportadora alimenta caixas de papelo com peas que saem de uma prensa. Um sensor detecta cada pea que passa pela esteira. Quando a passam 100 peas pela esteira, a mesma deve acionar o sistema de fechamento da caixa e o sistema de alimentao com nova caixa. Depois de realizado isso, deve-se religar a esteira. O funcionamento do sistema depende de um boto de liga e outro de desliga e de um sinal de que a prensa esteja funcionando.

6-Acionamento Econmico de Iluminao A idia principal segmentar um corredor em setores, os quais apresentaro luminosidade adequada ao setor. Sero acesos somente se estiver uma pessoa por esse setor e apagar se nenhuma pessoa estiver em tal segmento. Cada setor possui a estrutura conforme a Figura 8.1.

1.1

1.2

2.2

2.1

Figura 8.1 Sistema de iluminao segmentado.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

81

7-Sistema de Segurana de Estacionamento Empresarial Alguns automveis possuem instalado um TAG que envia um sinal de freqncia quando solicitado. A portaria da empresa possui a antena que recebe o sinal do veculo. Caso o veculo seja cadastrado, a cancela se abre para a passagem do veculo, caso o veculo no seja cadastrado, somente o porteiro tem acesso a uma botoeira que autoriza a passagem de veculos. Montar o programa.

8-nibus para Deficientes Fsicos Um nibus possui suspenso que se ajusta na altura da calada atravs de um sensor (s_baixo) e um sensor que est localizado na sua altura de trabalho (s_alto). O mesmo tambm possui um sensor de porta fechada (porta) e um sensor para detectar se o nibus est em movimento ou parado (giro). Dessa forma, quando o motorista desejar abrir a porta mesma poder ser aberta nas seguintes condies: -nibus parado e sensor s_baixo acionado OU -Boto de emergncia pressionado.

O nibus tambm somente poder voltar a se movimentar aps a seguinte condio: -Sensor s_alto acionado e sensor de porta fechada.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

82

9 Desenvolvimento de Projetos
Deve-se apresentar como parte dos requisitos para aprovao na disciplina um projeto contendo:

Capa: Nome da escola, nome da disciplina, tema, nome dos componentes do grupo, local e data;

Resumo: Breve comentrio sobre o problema, sua resoluo e concluses;

Objetivo: Definio do problema;

Metodologia: Modo como foi abordado o projeto para resoluo do problema;

Projeto: Descrio da resoluo;

Apresentao: Apresentao da resoluo com simulaes e/ou fotos do sistema;

Concluses

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

83

Mquina de Caf Uma mquina de caf produz 2 tipos de produto: Caf curto / Caf longo. Os produtos possuem 3 tipos para adoar: Sem acar / Doce / Muito doce. A mquina possui capacidade para armazenar: 3,5 Kg de caf e 4,2 Kg de acar. A mquina consome: Caf longo: 30g de caf; Caf curto: 10g de caf; Sem acar: 0 g de acar; Doce: 20g de acar para caf curto e 60g de acar para caf longo; Muito doce: 30g de acar para caf curto e 90g de acar para caf longo. Primeiramente o visor apresenta uma mensagem para que o cliente selecione o tipo de produto que deseja. Aps isso, a mquina verifica se possuem os materiais necessrios para processar o produto. Se no possui informa ao cliente para selecionar outro produto durante alguns segundos. Se no selecionado volta tela inicial. Se selecionado um produto que contenha os materiais necessrios, a mquina solicita o pagamento. Se pagamento no for realizado por alguns minutos a mquina volta tela inicial. Se o pagamento for realizado ento ela processa o produto.
Obs.: Para verificar se possui os materiais, utiliza-se de sensores de peso com sada analgica de 4 - 20mA (0 5Kg). Para o pagamento apenas um sensor de OK ou no OK (o sinal enviado por um sistema eletrnico de identificao de dinheiro).

Entradas: Sensores de peso (p1 e p2); Botes de seleo (Longo / Curto / Sem acar / Doce / Muito doce); Sinal de pagamento. Sadas: Vlvula de gua (quantidade controlada por tempo); Vlvula de caf (quantidade controlada por tempo); Vlvula de acar (quantidade controlada por tempo).

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

84

Mquina de Lavar Roupas Uma mquina de lavar roupas possui os estados: Incio: Aguarda ao do usurio; Molho: Enche o reservatrio de gua e sabo e aguarda um tempo; Bater: Gira o motor nos dois sentidos; Enxge: Retira a gua e o sabo; Erro: Em caso de abertura da tampa paralisa todas as aes; Centrfuga: Gira a mquina em um nico sentido; Final: desliga todas as sadas da mquina. Primeiramente a mquina aguarda o boto Liga para dar incio ao ciclo de lavagem, o ciclo de lavagem se dar se o sensor de segurana estiver acionado. Depois de realizada essa situao, a mquina enche o reservatrio com gua e sabo e aguarda um tempo determinado (molho). Aps esse processo a mquina gira o motor em ambos os lados (bate) por um determinado tempo. Depois ela esvazia o contedo, enche novamente, bate por um tempo menor e esvazia novamente (enxge). Depois de realizado isso ela gira a mquina em um nico sentido (centrfuga) por um determinado tempo. Ento ela finaliza a operao.
Obs.: Sempre que o sensor de segurana estiver desligado, a mquina deve parar o funcionamento.

Entradas: Sensores (segurana da tampa s1 / nvel cheio s2 / nvel vazio s3); Botes de seleo (Liga / Desliga). Sadas: Vlvula de abertura de gua (quantidade controlada por nvel); Vlvula para esvaziamento (quantidade controlada por nvel); Vlvula de sabo (quantidade controlada por tempo); Motor eltrico.

Deve-se chegar o mais prximo das condies reais.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

85

Mquina de Furar Automatizada Ao ligar a furadeira, o motor inicia o funcionamento e aps um tempo o mandril desce em direo a pea, atravs da energizao de um solenide. Um tempo aps atingir o fim-de-curso o mandril retorna e ao pressionar o fim-de-curso de retorno o motor desliga. Sinalizar atravs de uma lmpada pisca-pisca o funcionamento do solenide e atravs de uma lmpada comum o funcionamento do motor. A furadeira s funcionar se estiver com o mandril na parte superior. Prever desligamento em qualquer ponto do funcionamento (emergncia). A furadeira dever parar para lubrificao a cada 10 peas furadas, e s ser liberado seu funcionamento aps o operador energizar manualmente o boto de lubrificao (o qual aciona um solenide de bomba de lubrificao) 5 vezes. Deve-se apresentar no visor o nmero de peas furadas.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

86

Mquina Misturadora de Tintas

A mquina de mistura usada para fazer cores personalizadas de tinta possui dois encanamentos entrando no topo do tanque, fornecendo dois ingredientes diferentes, e um nico encanamento no fundo do tanque para transportar a tinta misturada finalizada. Nessa aplicao voc vai controlar a operao de preenchimento, monitorar o nvel do tanque, e controlar o misturador e o perodo de aquecimento. Seguir os passos 1 at o 8 listados abaixo. 1o passo Encha o tanque com o ingrediente 1; 2o passo Encha o tanque com o ingrediente 2 (a utilizao do 1o ou do 2o ingrediente so independentes); 3o passo Monitore o nvel do tanque para o acionamento da chave HighLevel, utilizando um sensor de nvel; 4o passo Manter o status da bomba se a chave Start est aberta, isto , a chave start deve ser independente (tambm perceba que o contato a ser utilizado deve ser normal fechado); 5o passo Comece a misturar os ingredientes e o perodo de aquecimento (10 Seg., por exemplo); 6o passo Ligue o motor do misturador e a vlvula de vapor (atravs destes haver a mistura e aquecimento, respectivamente); 7o passo Drene o tanque da mistura atravs da vlvula "Drain Valve"(vlvula de drenagem) e do motor "Drain Pump"( bomba de drenagem ); 8o passo Crie um modo de contar quantas vezes este processo (descrito do 1o ao 7o passo) realizado por completo. C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

87

Mquina Montadora de Caixas Seu funcionamento consiste de: O material retirado do magazine com o auxlio de uma ventosa e posicionado nas guias.

O cilindro empurra o material at que passe pelos bicos coleiros, passando cola na caixa, e pare no local apropriado do formador.

Um cilindro desce como puno, e fora a montagem da caixa na matriz.

A caixa formada cai em uma esteira e direcionada ao prximo passo da produo.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

COTIP COLGIO TCNICO INDUSTRIAL DE PIRACICABA

88

BIBLIOGRAFIA

CAPELLI, Alexandre. CLP Controladores Lgicos Programveis na Prtica. Rio de Janeiro: Antenna Edies Tcnicas Ltda, 2007.

GEORGINI, Marcelo. Automao Aplicada Descrio e Implementao de Sistemas Seqenciais com PLCs. 7 ed. So Paulo: Editora rica, 2006.

Manual de Instrues do LOGO! (SIEMENS). A5E00067788 01.

Manual de Instrues do LOGO! Soft Comfort.

Manual do Curso STEP 7 SIEMENS (BASICS OF PLC).

ORTEGA, Jos. Curso de Programacin y mantenimiento de PLCs industriales.

PARR, E. A. Programmable Controllers An Engineerings Guide. 3 Ed. Amsterdan: Newnes, 2003.

C.L.P. CONTROLADOR LGICO PROGRAMVEL - 3 SEMESTRE

Você também pode gostar