Você está na página 1de 9

RETIFICADOR TRIFÁSICO HÍBRIDO OPERANDO COM CONTROLE

DIGITAL E MODULAÇÃO POR HISTERESE


Jurandir de O. Soares*, Carlos A. Canesin*, Luiz C. de Freitas** e Flávio A. S. Gonçalves*
* Universidade Estadual Paulista – UNESP, FEIS/DEE, CEP 15385-000, C.P. 31, Ilha Solteira (SP), Brasil
e-mail: jurandir@aluno.feis.unesp.br, canesin@dee.feis.unesp.br, flavio@dee.feis.unesp.br
** Universidade Federal de Uberlândia – Faculdade de Engenharia Elétrica – FEELT, Uberlândia (MG), Brasil
e-mail: freitas@ufu.br

Resumo – Neste artigo uma modulação por histerese compact converter, reduced input current THD and high
digital é proposta e analisada usando dispositivo FPGA power factor. Thus, the proposed solution is considered
(Field Programmable Gate Array) e linguagem VHDL economically viable for very high power installations,
(Hardware Description Language), aplicada em um with fast pay back of the investiment. Moreover,
retificador trifásico híbrido composto por retificadores retroffitting to existing installations is also feasible since
monofásicos SEPIC conectados em paralelo a cada um the parallel path can be easily integrated with the existing
dos braços de um retificador de 6-pulsos convencional à dc-link. Finally, the proposed control strategy is verified
diodos. O controle digital permite a programação da through experimental results from an implemented
DHT (Distorção Harmônica Total) das correntes de 3.0 kW prototype.
entrada, possibilitando que a potência processada através
dos conversores controlados, conectados em paralelo, Keywords - Active Power-Factor Correction, Digital
represente uma pequena fração da potência média total Control, FPGA Device, Hybrid Rectifier, Hysteresis
de saída, de tal forma a permitir a obtenção de um Modulation.
conversor compacto, com uma DHT reduzida para as
correntes de entrada e um fator de potência elevado. I. INTRODUÇÃO
Assim, a solução proposta é considerada economicamente
viável para instalações com potências elevadas, com um Devido ao crescimento significante das cargas não
retorno imediato do investimento realizado. Além disso, lineares, incluindo os retificadores monofásicos e trifásicos,
a melhoria de instalações existentes é factível, uma vez valores elevados de distorções harmônicas nas correntes
que a conexão paralela com o link CC existente pode ser através dos alimentadores de distribuição CA têm sido
facilmente realizada. Finalmente, a estratégia de controle observados, causando distorções harmônicas significantes
proposta é verificada através dos resultados nas tensões sobre os pontos de acoplamento de cargas. Neste
experimentais com um protótipo de 3,0 kW. contexto, foram estabelecidas normas internacionais (IEC
61000-3-2, IEC 61000-3-4 e IEEE 519) com o objetivo de
Palavras-Chave – Controle Digital, Correção Ativa do impor limites na emissão de componentes harmônicas por
Fator de Potência, Dispositivo FPGA, Modulação por parte destes equipamentos [1-2]. Além disso, considerando a
Histerese, Retificador Híbrido. necessidade de impor restrições do conteúdo harmônico das
1 correntes de entrada, inúmeras estratégias e estruturas têm
HYBRID THREE-PHASE RECTIFIER sido desenvolvidas, buscando um fator de potência elevado e
níveis eletromagnéticos compatíveis para aplicações de
OPERATING WITH DIGITAL CONTROL retificadores trifásicos. Considerando as pesquisas
AND HYSTERESIS MODULATION desenvolvidas com a finalidade de combinar as vantagens
dos retificadores ativos e passivos, os retificadores híbridos
Abstract – In this paper a digital hysteresis modulation is foram propostos, aplicados para potências médias e elevadas
proposed and analyzed using a FPGA (Field [3-6]. Os retificadores híbridos são constituídos pela
Programmable Gate Array) device and VHDL associação de um retificador não controlado e um retificador
(Hardware Description Language), applied at a hybrid controlado PWM (Pulse Widht Modulated). O retificador não
three-phase rectifier composed by parallel SEPIC controlado opera em baixa freqüência e processa a maior
controlled single-phase rectifiers connected to each leg of parte da potência ativa entregue para a carga. Enquanto isso,
a standard 6-pulses uncontrolled diode rectifier. The o retificador controlado PWM processa uma pequena parte
digital control allows a programmable THD (Total da potência ativa, operando em freqüência elevada. A grande
Harmonic Distortion) at the input currents, and it makes vantagem deste tipo de estrutura, é o fato de combinar a
possible that the power rating of the switching-mode robustez e eficiência do retificador não controlado, com a
converters, connected in parallel, can be a small fraction imposição de uma corrente de entrada com uma DHT
of the total average output power, in order to obtain a reduzida, através do controle adequado do retificador
controlado. Portanto, do ponto de vista da eficiência
Artigo submetido em 15 de maio de 2008; primeira revisão em 15 de energética, tal característica de operação torna os
outubro de 2008; segunda revisão em 13 de novembro de 2008. retificadores híbridos bastante atrativos comercialmente. Na
Recomendado para publicação pelos Editores da Seção Especial, João Figura 1, apresenta-se uma estrutura denominada Retificador
Carlos dos Santos Fagundes e Felix Alberto Farret.
Trifásico Híbrido com fator de potência elevado (HPF),

Eletrônica de Potência, vol. 13, no. 4, Novembro de 2008 241


constituído de um retificador de 6 pulsos convencional à retificador controlado, permitindo ainda ampla programação
diodos (Retificador não controlado: Retif-1), com a ligação da desejada DHT das correntes drenadas da rede de
em paralelo de retificadores chaveados monofásicos alimentação em corrente alternada. Para implementar a
compostos de conversores SEPIC (Retificador controlado: lógica de controle digital proposta, torna-se necessária a
Retif-2) [4]. Estes conversores chaveados, em uma análise aquisição e processamento digital simultâneo de vários sinais
global, comportam-se como uma fonte de corrente do retificador trifásico híbrido, conforme Figura 1 e
controlada, através de uma estratégia bastante simples de discussões apresentadas na seção 3. Para a implementação da
controle. Impõe-se uma referência de corrente para os técnica de controle utilizou-se um dispositivo programável
conversores SEPIC, de maneira que as correntes drenadas FPGA e linguagem VHDL, devido às suas características de
por estes conversores, quando somadas com as correntes flexibilidade e de processamento concorrente, possibilitando
drenadas pelo retificador de seis pulsos convencional, resulte executar todos os procedimentos de controle de forma
em uma corrente de entrada com multiníveis, inclusive com a simultânea [8].
possibilidade de se obter uma corrente aproximadamente
senoidal, com uma DHT reduzida e fator de potência II. ANÁLISE TEÓRICA
próximo do unitário. Contudo, considerando o retificador
proposto da Figura 1, operando com uma corrente de entrada Para simplificar a análise, será considerado um sistema
de “q.6±1” pulsos [7], dependendo do valor do índice “q” e trifásico balanceado com tensões de entrada senoidais.
da magnitude da potência nominal de saída processada, o Assim, será discutido neste artigo somente o controle da
conteúdo harmônico ainda existente nas correntes de entrada corrente de entrada ia(ω.t) pertencente à fase “a”, conforme
(por exemplo, ia(ω.t)), para algumas ordens harmônicas, mostrado na Figura 1. O objetivo principal da análise a ser
pode não obedecer aos limites estabelecidos pelas normas, apresentada nesta seção é estabelecer uma relação entre a
como por exemplo a IEC61000-3-4. Adicionalmente, foi DHT imposta para as correntes de entrada, com formas de
proposto pelos autores, em [4], uma técnica de controle onda praticamente senoidais, e a potência média total
analógico, o que resulta em circuitos analógicos bastante processada pelos retificadores controlados e não controlado.
complexos, dificultando a imposição de uma corrente de De acordo com a Figura 2, a corrente de entrada imposta no
entrada senoidal e impedindo a desejada programação da retificador controlado, ia2(ω.t), segue uma referência de
DHT para as correntes de entrada. Assim, objetivando corrente gerada pela subtração isen(ω.t)-ia1(ω.t). A corrente
atender os limites estabelecidos pelas normas internacionais isen(ω.t), dada pela equação (1), é uma corrente senoidal
IEC/IEEE, para a corrente de linha de entrada, propõe-se auxiliar da técnica de controle proposta. Observa-se que o
neste artigo uma técnica de controle digital modificada, formato da corrente ia(ω.t) depende de uma relação constante
usando a modulação por histerese e oferecendo uma maior entre os valores de pico das correntes ia1(ω.t) e isen(ω.t),
flexibilidade na implementação da imposição da corrente de representada pelo parâmetro “K”, conforme equação (2).
entrada dos conversores controlados (por exemplo, ia2(ω.t)),
resultando em um fator de potência quase unitário e um I sen (ω.t ) = K.I Retif_1 .sen(ω.t ) (1)
percentual reduzido de potência ativa processada pelo Im
K= (2)
Retif- 1 i Retif -1 (ω.t ) Sensor i Retif -1 (ω.t ) I Retif_1
v a (ω.t ), v b (ω.t ), v c (ω.t )

L O1 Onde:
Sensor D1 D3 D5 i O (ω.t )
i a (ω.t ) i a1 (ω.t )
v a (ω.t ) Im -Valor de pico da corrente senoidal auxiliar isen(ω.t).
i b (ω.t ) i b1 (ω.t ) IRetif_1 -Valor de pico da corrente ia1(ω.t) (igual ao valor
v b (ωt ) CO
RO
N1 v O (ω.t )
v c (ω.t ) i c1(ω.t ) médio da corrente de saída do Retif_1).
i c (ω.t ) ⎧ ⎛1⎞ π ⎫
i Retif -2 (ω.t ) ⎪arcsen⎜ ⎟ − , 1 ≤ K ≤ 2⎪
i a2 (ω.t )
D2 D4 D 6 LO2
ω.∆t (K ) = ⎨ ⎝K⎠ 6 ⎬ (3)
⎪0 , K > 2 ⎪
R etif- 2 ⎩ ⎭
Sensor L1 C1 D 12 i 1 (ω.t )
A descontinuidade de ia2(ω.t), conforme Figura 2, ocorre
D7 D9
S1 D 11 devido à unidirecionalidade do retificador trifásico híbrido.
i in1 (ω.t )
D8 D 10 L2 C2 L 3 D 13 Desta forma, o intervalo de tempo “ω.∆t(K)” é obtido
i b2 (ω.t )
através da equação (3). É interessante observar que,
D 19 i 2 (ω.t )
L4 C3 elevando-se o valor do parâmetro “K”, resultará na redução
D 15 D 17
S2 D 14 do valor da DHT da corrente de entrada ia(ω.t), até atingir
N2 L5 C4 L 6 D 20
DHT=0, quando K=2. Entretanto, com o aumento do valor
D 18
D 16 de “K”, aumenta-se também a potência processada pelo
L6 C5 D 26 i 3 (ω.t ) retificador controlado (Retif_2) e reduz-se a eficiência global
i c2(ω.t ) D 21 do retificador híbrido. Assim, na seqüência, será discutida a
D 23
D 25
S3 análise para encontrar um valor de “K” que proporcione
D 24 L 7 C6 L 8 D 27
um valor mínimo de potência processada pelo retificador
D 22
controlado e um valor máximo de DHT permitido pelas
Fig. 1. Retificador Trifásico Híbrido com fator de potência elevado normas internacionais.
(HPF).

242 Eletrônica de Potência, vol. 13, no. 4, Novembro de 2008


0º 60º 120º 180º 240º 300º 360º As equações (9), (10), (11), (12) e (13), apresentadas na
270º
30º 90º 150º 210º 330º
seqüência, são expressões auxiliares que compõem as
Im=K.IRetif-1 equações (4), (5), (6), (7) e (8).
i sen (ω.t )

ia1 (ω.t )
⎧ 5.π
IRetif-1 ⎪6
t ⎪ {sen(n.ω.t ) + cos(n.ω.t )}.d (ω.t ) +

⎪π
⎪⎪ 6
t
F1 (n ) = ⎨ (9)
11.π
⎪ 6
i a2 (ω.t ) ⎪
⎪− {sen(n.ω.t ) + cos(n.ω.t )}.d (ω.t )
t
DHT > 0
⎪ 7.π

t ⎪⎩ 6
i a (ω.t )
ω.∆t (K )
⎧ 5.π −ω∆t (K )
i a2 (ω.t ) = i sen (ω.t ) − i a1 (ω.t ) i a (ω.t ) = i a1 (ω.t ) + i a2 (ω.t ) ⎪6
⎪ ∫ {sen(n.ω.t ) + cos(n.ω.t )}.d (ω.t ) +
Fig. 2. Formas de onda teóricas das correntes, referentes à “fase a” ⎪π
do retificador trifásico híbrido. ⎪⎪ 6 + ω∆t (K )
F2 (n, K ) = ⎨ (10)
11.π
O primeiro passo é obter as representações por séries de ⎪ 6 −ω∆t (K )

Fourier para as correntes de entrada ia1(ω.t), ia2(ω.t) e ia(ω.t), ⎪− ∫ {sen(n.ω.t ) + cos(n.ω.t )}.d (ω.t )
representadas na Figura 2. No passo seguinte, calculam-se ⎪ 7.π
os valores eficazes e as DHT das correntes ia1(ω.t), ia2(ω.t) e ⎪⎩ 6 + ω∆t (K )
ia(ω.t), de acordo com as equações (4), (5), (6), (7) e (8).
⎧ π + ω∆t (K ) ⎫
⎪6 ⎪

F12 (n ) ⎪ sen(ω.t ).sen(n.ω.t ).d (ω.t ) + ⎪

I a1(eficaz) = ∑
n =1
2
(4) ⎪ π
⎪ 6


⎪ 5.π

∞ ⎪ ⎪
∑F
6
2
(n ) ⎪ ⎪
sen(ω.t ).sen(n.ω.t ).d (ω.t ) + ⎪
n=2
1 ⎪+
⎪ 5.π
∫ ⎪
DHT_ia1 = (5)
1 K ⎪ 6 −ω∆t (K ) ⎪
F3 (n, K ) = .⎨
∑ F (n)
n =1
1 2 ⎪ 7.π + ω∆t (K )
⎬ (11)

6
⎪ ⎪
⎪+ sen(ω.t ).sen(n.ω.t ).d (ω.t ) + ⎪

I Retif_1 ∞
{F2 (n, K ) + F3 (n, K )}2 + 1
F4 2 (n, K ) ⎪ ⎪
I a2 (eficaz ) =
π
. ∑ 2 ∑ 2 ⎪
7.π
6 ⎪
n=2 n =1 ⎪ ⎪
11.π
(6) ⎪ 6 ⎪
⎪+ sen(ω.t ).sen(n.ω.t ).d (ω.t ) ⎪
⎪ ∫ ⎪

{F1 (n ) + F2 (n, K ) + F3 (n, K )}2 + ⎪ 11.π −ω∆t (K ) ⎪
I Retif_1
∑ 2
⎩ 6 ⎭
n=2
I a (eficaz ) = . (7) F4 (n, K ) = K.π + F5 (K ) − F2 (n, K ) − F3 (n, K ) (12)
π 1
{F1 (n ) + F4 (n, K )}
+ ∑ 2 ⎧ π + ω∆t (K ) ⎫
n =1
∞ ⎪⎪ 6 ⎪⎪ ⎛ x⎞
∞ ∑
F5 (K ) = 2.K. ⎨ ∫ sen(ω.t ).sen(x.ω.ω).d (ω.t )⎬.sen⎜ π. ⎟
⎝ 2⎠
∑ {F1 (n) + F2 (n, K ) + F3 (n, K )}2 x =0 ⎪
⎪⎩ 6
π ⎪
⎪⎭
n=2
DHT_ia = 1
(8) (13)
∑ {F1 (n) + F4 (n, K )} Neste contexto, assumindo valores desejáveis de uma
eficiência global “η” especificada e de um fator de potência
n =1
“FP” para o retificador trifásico híbrido, pode-se estabelecer
uma relação entre a potência aparente de entrada “S” e o
Onde:
valor médio da potência de saída “P”, através das seguintes
Ia1(eficaz) - Valor eficaz da corrente ia1(ω.t). equações.
DHT_ia1 - DHT da corrente ia1(ω.t). P
Ia2(eficaz) - Valor eficaz da corrente ia2(ω.t). Pin = (14)
η
Ia(eficaz) - Valor eficaz da corrente ia(ω.t).
DHT_ia - DHT da corrente ia(ω.t).

Eletrônica de Potência, vol. 13, no. 4, Novembro de 2008 243


Pin K=2
FP = (15)
S 1,8
S = 3.Vef .I ef (16) K=1,633
1,6
V 2
P= O (17) 1,4
RO
3. 6 .Vef 1,2
VO = (18)
K
π 1,0
0 0,03 0,06 0,09 0,12 0,15 0,18
Onde:
Pin - Valor nominal da potência média de entrada. DHT=0 DHT=0,025
DHT
P - Valor nominal da potência média de saída.
S - Valor nominal da potência aparente de entrada. Fig. 3. Parâmetro “K” em função da DHT da corrente de entrada
RO - Valor nominal da resistência de carga. para o retificador trifásico híbrido.
VO - Valor nominal da tensão média de saída.
Vef - Valor nominal eficaz da tensão de entrada (fase- 100
neutro. % 83
IRetif_1%
Assim, obtém-se a equação (19).
67
P 43,4% 50
ε = η.FP = (19)
S 33
31,0%
Onde:
17 IRetif_2%
ε - Parâmetro auxiliar.
0
1,0 1,2 1,4 1,6 1,8 2,0
Adicionalmente, utilizando-se (16), (17), (18) e (19),
pode-se obter a equação (20). K
18.Vef DHT>0 DHT=0
I ef = 2 (20) DHT=0,18 DHT=0,025 DHT=0
π .RO .ε
K=1 K=1,633 K=2
Finalmente, considerando-se a igualdade dos valores
eficazes calculados por (20), Ief, e por (7), Ia(eficaz), os valores
Fig. 4. Variação percentual das correntes média de saída IRetif-1 [%]
médios das correntes de saída “IRetif_1” e “IRetif_2” podem ser e IRetif-2 [%], em relação à corrente média de saída total, como uma
obtidos através das equações (21) e (22), respectivamente. função do parâmetro “K”.
18. 2 .Vef (IRetif-2 [%]), em relação à corrente média total na carga,
I Retif_1 = (21)

{F1 (n ) + F2 (n, K ) + F3 (n, K )}
2 como uma função do parâmetro “K”, conforme equações
∑ 2
+ (21) e (22). Através das Figuras 3 e 4, é mostrado que
considerando uma DHT menor do que 3% para a corrente de
n=2
ε .π .RO .
1
{F1 (n ) + F4 (n, K )}2 entrada (de linha), ia(ω.t), o valor do parâmetro “K” cresce
+ ∑ 2
numa taxa elevada, aumentando-se também o valor da
n =1 potência processada pelo retificador controlado. Portanto,
um ponto de operação com uma DHT em torno de 3%
⎛ I Retif_1 .VO ⎞ deverá ser considerado, com o objetivo de reduzir a relação
I Retif_2 % = ⎜⎜ 1 − ⎟.100
⎟ (22)
⎝ P ⎠ (IRetif-2[%]), para os conversores controlados SEPIC. A
escolha definitiva do ponto de operação requererá uma
Deve ser observado que a obtenção dos valores eficazes verificação, através da equação (7), dos valores das
das correntes de entrada através das equações (4), (6) e (7), e componentes harmônicas da corrente de entrada, corrente de
dos valores médios das correntes de saída através das linha, em relação ao atendimento dos limites estabelecidos
equações (21) e (22), requer a especificação prévia do valor pelas normas específicas, tais como: IEC 61000-3-2, ou, IEC
do parâmetro “K”. Assim, especificando-se um valor de 61000-3-4, ou, IEEE 519.
DHT desejável para a corrente de entrada (de linha),
conforme Figura 3, um valor correspondente de “K” é obtido III. CONTROLE DIGITAL PROPOSTO
nesta Figura, considerando-se a equação (8).
Na seqüência, um parâmetro importante, utilizado para O controle digital proposto utiliza dispositivo FPGA e
projetar o retificador híbrido, é a relação entre o valor médio linguagem VHDL para impor as formas de onda das
da corrente de saída para cada retificador, não controlado e correntes de entrada dos retificadores SEPIC. Para compor o
controlado, e o valor médio total da corrente de saída através controle proposto, é necessário fazer a amostragem das
da carga. Neste contexto, a Figura 4 apresenta os valores tensões de entrada va(ω.t) na fase “a”, vb(ω.t) na fase “b” e
percentuais das correntes médias processadas pelo retificador vc(ω.t) na fase “c”, e, adicionalmente, a corrente de entrada
não controlado (IRetif-1 [%]), e pelo retificador controlado iin1(ω.t) do conversor SEPIC1 e a corrente de saída iRetif_1(ω.t)

244 Eletrônica de Potência, vol. 13, no. 4, Novembro de 2008


do retificador não controlado, destacadas na Figura 1. A ω.∆t(K)} ou se {210°+ω.∆t(K)}<ω.t<{330°-ω.∆t(K)}, senão
idéia básica deste controle é que a corrente iin1(ω.t) siga uma Control_Ia1(n) receberá sinal lógico “0”. Adicionalmente, o
referência de corrente, de modo que a corrente ia2(ω.t), sinal Control_Isen(n) receberá sinal lógico “0” se
quando somada com ia1(ω.t), resulte em uma corrente ia(ω.t) 30°<ω.t<{30°+ω.∆t(K)}, se {150°-ω.∆t(K)}<ω.t<150°, se
com o mesmo formato da curva teórica visualizada na Figura 210°<ω.t<{210°+ω.∆t(K)} ou se {330°-ω.∆t(K)}<ω.t<330°,
2. No intuito de operar o retificador híbrido com um fator de senão Control_Isen(n) receberá sinal lógico “1”. Na Figura 5, os
potência quase unitário, é gerada digitalmente uma referência sinais de entrada são: os sinais de controle Control_Ia1(n) e
de corrente Sinal_Ref_sen(n), como é mostrado na Figura 5, com Control_Isen(n), e, a corrente de saída IRetif_1(n). O propósito do
o objetivo de impor o formato da corrente ia2(ω.t), conforme sinal Control_Ia1(n) é o estabelecimento de uma corrente digital
Figura 2. Assim, para compor a lógica de controle mostrada Ia1_ω∆t(n), através da seguinte lógica de seleção: Se
na Figura 5, serão necessários dois sinais lógicos auxiliares Control_Ia1(n)=“1”, Ia1_ω∆t(n)=IRetif_1(n), senão se
Control_Isen(n) e Control_Ia1(n). Estes sinais lógicos auxiliares são Control_Ia1(n)=“0”, Ia1_ω∆t(n)= “00000000”. O sinal Isen_unit(n) é
gerados através de um outro sinal lógico denominado uma corrente senoidal retificada com a amplitude unitária,
Ia1_sensor(n), conforme Figura 6. O sinal lógico Ia1_sensor(n), gerada digitalmente e sincronizada com a rede através do
gerado por um sensor analógico, recebe sinal lógico “1” se sinal Va_sensor(n). O sinal Va_sensor(n), dado por um sensor
ia1(ω.t)=0, senão Ia1_sensor(n) receberá sinal lógico “0”. A analógico, recebe sinal lógico “0” se va(ω.t)>0, senão
largura dos sinais Control_Isen(n) e Control_Ia1(n), dependem do Va_sensor(n) recebe sinal lógico “1”. Assim, multiplicando-se
intervalo de descontinuidade “ω.∆t(K)”, calculado pela a corrente de saída IRetif_1(n) pelo parâmetro “K” e por
equação (3). Isen_unit(n), resultará um sinal senoidal Isen(n) com uma
Assim, conforme Figura 5, o sinal Control_Ia1(n) receberá amplitude K.IRetif_1(n). O sinal Control_Isen(n) é usado para
sinal lógico “1” se {30°+ω.∆t(K)}<ω.t<{150°- obter um intervalo de descontinuidade “ω.∆t(K)” no sinal
FPGA Lógica - B (Código VHDL) Isen(n), resultando no sinal Isen_ω∆t(n), o qual é obtido através
1 K
ω∆t(K ) da seguinte lógica de seleção: Se Control_sen(n)=“1”,
K.I Retif_1 (n) Isen_ω∆t(n)=Isen(n), senão se Control_sen(n)=“0”,
Isen(n)
Isen_ω∆t(n)=“00000000”. Deste modo, o controle para a DHT
Isen_unit(n)
IRetif_1(n) da corrente de entrada, de linha, é obtido somente com a
Lógica para sincronização I sen_ω∆t (n ) especificação do parâmetro “K” desejável, conforme análise
com a rede AC
8 BITS

Lógica para calcular


o valor médio teórica discutida previamente. Finalmente, a referência de
va 60Hz
corrente Sinal_Ref_sen(n) para o SEPIC1 é gerada pela subtração
Sinal_Ref_sen(n) Isen_ω∆t(n)-Ia1_ω∆t(n), conforme diagrama mostrado na Figura
0 1
5.
Lógica de seleção
Sensor
va_sensor(n)
va (ω.t ) 1 BIT -+ 8 BITS A. Modulação por Histerese Digital

Control_Isen(n)
I a1_ω∆t (n ) 3 Uma modulação por histerese totalmente digital é
1 1 BIT
caracterizada por usar somente as amostras das variáveis
IRetif_1(n)
Control_Ia1(n) controladas para determinar os estados ON (em condução) e
2 1 BIT
OFF (bloqueado) do interruptor controlado. Esta técnica tem
Sensor sido bastante aplicada na sua forma padrão, ou seja, o
IRetif_1(n)
i Retif_1 (ω.t ) A/D 8 BITS interruptor é imediatamente comandado para a condução
sempre que a corrente controlada atingir o limite inferior da
Fig. 5. Detalhes da lógica para gerar a corrente de referência para
o SEPIC1.
banda de histerese, e é comandado para o bloqueio sempre
que corrente controlada atingir o limite superior da banda de

30o + ω∆t
120º 180º 240º 300º 360º
histerese. No entanto, devido ao processo de aquisição de
30º
60º
90º 150º 210º 270º 330º dados, através dos conversores A/D (Analogical-Digital
Circuito analógico Converter), a corrente digitalizada não comuta exatamente
nos limites inferior e superior da banda de histerese, tendo
Sensor i a1(ω.t) em vista que a decisão de comandar o interruptor ao bloqueio
ou à condução acontece somente após a aquisição do dado,
resultando em uma variação adicional da freqüência de
FPGA Lógica - A (Código VHDL) comutação, fato que não ocorre na modulação por histerese
Ia1_sensor
analógica convencional. Considerando que os instantes de
1 BIT
1 0 1 0 1 comutação são sempre múltiplos do período de aquisição de
dados, poderá resultar em freqüências de operação
0 1
Control_Ia1(n)
0 1 0
impraticáveis para que um valor médio instantâneo desejável
2 1 BIT
da corrente controlada seja garantido. Constam na literatura
1 0 1 0 1 0 1 0 1
Control_Isen(n) várias técnicas desenvolvidas com o objetivo de minimizar
1 1 BIT
este problema. Em [9] os autores propuseram uma
ω∆t (K ) modulação por histerese digital baseada na predição do
Fig. 6. Lógica auxiliar para gerar a corrente de referência para o instante de comutação do interruptor controlado, analisando-
SEPIC1. se as derivadas de subida e descida da corrente controlada, o

Eletrônica de Potência, vol. 13, no. 4, Novembro de 2008 245


que teoricamente evita variações adicionais indesejáveis da lógicos, com o objetivo de evitar uma atuação inadequada do
freqüência de comutação, associadas ao processo de controle devido à presença de ruídos de comutação. O
aquisição de dados. Além disso, em [10], foi utilizado um intervalo de tempo TOFF ocorre durante o estado E2. Este
algoritmo de estabilização da freqüência de comutação, intervalo de tempo é controlado pelo comparador dentro da
mantendo-se as vantagens da técnica PWM. Baseando-se seguinte lógica: Se Iin1(n)≥Sinal_Ref_sen1(n), Pulso_SEPIC1 receberá
parcialmente nos conceitos aplicados em [9], é proposta sinal lógico “0”, senão, se Iin1(n)<Sinal_Ref_sen1(n), Pulso_SEPIC1
neste artigo uma metodologia bastante simples usando uma receberá sinal lógico “1” e o estado muda para E3.
modulação por histerese para gerar os pulsos de controle para Finalmente, o estado E4 tem a função de verificar se o
o retificador SEPIC1, evitando-se os erros de comutação no Sinal_Ref_sen1(n) teve o seu valor alterado durante o estado E3,
limite superior da banda de histerese. Nesta modulação, a dentro da seguinte lógica: Se Iin1(n) ≥Sinal_Ref_sen1(n), o estado
referência superior da banda de histerese é eliminada, muda para E0, senão, se Iin1(n)<Sinal_Ref_sen1(n), o estado E4 é
conforme Figuras 7 e 8. O controle da variação do ripple e mantido. O Pulso_SEPIC1 recebe somente sinal lógico “1” no
da freqüência da corrente de entrada iin1(ω.t) do SEPIC1 é estado E4. Assim, o comportamento dinâmico inerente da
realizado impondo-se um intervalo de condução tON modulação por histerese analógica é perfeitamente garantido.
constante através da lei de controle de modulação dada pelos
estados E0, E1, E2, E3 e E4, em detalhe na Figura 8. O IV. RESULTADOS EXPERIMENTAIS
intervalo de tempo tON ocorre durante o estado E0 e o
Pulso_SEPIC1 recebe sinal lógico “1”. Este intervalo de tempo é Considerando a análise teórica discutida nas seções II e
controlado através de um contador lógico crescente, que III, aplicada para o controle digital proposto, um protótipo do
determina exatamente o instante da transição para o estado retificador trifásico híbrido foi construído para uma potência
E1, evitando erros do comparador associados ao processo de de 3,0 kW. Os dados de entrada e saída, incluindo os
aquisição, conforme discutido anteriormente. Nos estados E1 parâmetros projetados e componentes usados no protótipo
e E3 ocorrem as transições de comutação ON para OFF são mostrados na Tabela I. Na Figura 9 apresenta-se uma
(Pulso_SEPIC1 recebe sinal lógico “0”) e OFF para ON vista geral da estrutura implementada, contemplando o
(Pulso_SEPIC1 recebe sinal lógico “1”), respectivamente. Nestes retificador não controlado (Ponte retificadora trifásica de
estados, também não existe atuação do comparador, assim, diodos e o filtro LC de saída ), os retificadores monofásicos
os intervalos de tempo são controlados por contadores SEPIC conectados em paralelo nas fases “a”, “b” e “c”,
circuitos de condicionamento de sinais e o dispositivo FPGA
FPGA Lógica - C (Código VHDL)
XC2S200E da Xilinx (Versão 6.303i/Spartan2e).
0º 60º 120º 180º 240º 300º 360º

30º 90º 150º 210º 270º 330º TABELA I


Parâmetros do Retificador Trifásico Híbrido
Dados de entrada e saída Parâmetros do circuito
Sinal_Ref_sen(n)
Parâmetro Valor Parâmetro Valor
3 8 BITS

Vin_fase(eficaz) 129,6 V S1,2,3 HGTG7N60A4D


VO 298,7 V D11,12,13,14,19 RHRP860
IO 10,05 A D20,25,26,27 RHRP860
iin1(n)
A/D 8 BITS P 3,0kW D7,8,9,10 GBU8J
IRetif-1 6,83 A L1,2,4,5,6,7 2,5mH – EE55/21
Sensor iin1 (ω.t )
IRetif-2 3,22 A L3,6,8 5mH – EE55/21
fs max 43,86 kHz D1,2,3,4,5,6 SKD2508
Comparador

fs min 27,4 kHz LO1 e LO2 22mH


K 1,633 Co 680uF
Pulso_SEPIC1
1 BIT
Ts tON
Tr=16,67ms

Comando ( fr=60 Hz )

Sepic1
SEPIC
Fig. 7. Metodologia para gerar pulsos de controle do retificador (Fase “C”)
monofásico SEPIC1.

Conversores A/D
E1 E3 E0 8 bits serial
SEPIC
E0 E2 E4 Módulo (Fase “B”)
FPGA
SOFF(m-1)
SON(m-1) SON(m) SOFF(m)

SEPIC
(Fase “A”)
t3 tOFF(m+1)
t1 t2
tON(m-1) tON(m+1)
tON(m) tOFF(m)
Ts(m+2) Condicionamento de sinais Ponte de diodos trifásica Filtro LC
Ts(m-1) Ts(m) Ts(m+1) para a aquisição

Fig. 8. Detalhe do funcionamento da modulação por histerese


digital proposta. Fig. 9. Vista geral do retificador trifásico híbrido, implementado.

246 Eletrônica de Potência, vol. 13, no. 4, Novembro de 2008


As formas de onda mostradas nas Figuras 10, 11 e 12, eficazes das correntes de linha processadas (iaef=8,25 A,
constituem os resultados experimentais das fases “a”, “b” e ibef=8,16 A e icef=8,05 A) pelo protótipo implementado,
“c” do retificador trifásico híbrido operando com a potência pode-se concluir que a norma IEC 61000-3-2 é obedecida
de 100% da carga nominal (P=3,0 kW). Analisando os plenamente. Contudo, objetivando a aplicação para potências
resultados das formas de onda das correntes de entrada de elevadas (iaef>16A) e atender os limites impostos pela IEC
linha, através do software Wavestar da Tektronix, mostrados 61000-3-4, os resultados comentados anteriormente deverão
na Figura 10, foi confirmada uma DHT=4,03% para ia(ω.t), ser melhorados com a imposição de um sincronismo
DHT=4,18% para ib(ω.t) e DHT=4,54% para ic(ω.t), apropriado entre as correntes de entrada não controlada
conforme espectro harmônico apresentado nas Figuras 13, {ia1(ω.t)} e a controlada {ia2(ω.t)}, com o finalidade de
14 e 15, respectivamente. Portanto, considerando os valores compor uma corrente de entrada de linha {ia(ω.t)}, conforme
Figura 2 (mostrada na seção II). Na Figura 12, são destacadas
ic (ω.t ) as formas de onda da tensão de entrada {va(ω.t)} e a corrente
de entrada {ia(ω.t)} com uma defasagem de 3,15º. É
1 importante enfatizar que foi verificada para a tensão de
entrada {va(ω.t)} uma DHT=2,71%, através do software
Wavestar, devido ao conteúdo harmônico existente na rede
i b (ω.t )
de alimentação.
2
2,4
2,2 IEC 61000-3-2
Retificador híbrido (Fase "a")
2,0

Valor máximo eficaz [A]


1,8
3 1,6
1,4
DHT = 4,03%

i a (ω.t )
1,2
1,0
0,8
10A/div; 5ms/div
0,6
Fig. 10. Formas de onda das correntes de entrada de linha nas fases 0,4
“a”, “b” e “c”, para o retificador trifásico híbrido. 0,2
0
2 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33
Ordem harmônica

i a (ω.t ) Fig 13. Espectro harmônico para a corrente de entrada ia(ω.t), em


100% da potência nominal.
1

2,4
IEC 61000-3-2IEC 61000-3-2
2,2
Retificador híbrido
HPF (Fase
(Fase"b")
"b")
2,0
2
Valor máximo eficaz [A]

1,8
i a1 (ω.t ) 1,6
1,4
DHT = 4,18%
1,2
3 1,0
0,8

i a2 (ω.t ) 0,6
0,4
0,2
10A/div; 2ms/div 0
Fig. 11. Detalhes da forma de onda da corrente de entrada de linha, 2 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33
Ordem harmônica
na fase “a”, para o retificador trifásico híbrido.
Fig 14. Espectro harmônico para a corrente de entrada ib(ω.t), em
100% da potência nominal.
v a (ω.t )

2,4
IEC 61000-3-2IEC 61000-3-2
2,2
Retificador híbrido
HPF (Fase
(Fase"c")
"c")
i a (ω.t )
2,0
Valor máximo eficaz [A]

1,8
1,6
1,4 DHT = 4,54%
1,2
1,0
0,8
0,6
0,4
0,2
0
2 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33
Ordem harmônica
50V/div; 10A/div; 5ms/div
Fig. 12. Detalhes das formas de onda da corrente e tensão de Fig 15. Espectro harmônico para a corrente de entrada ic(ω.t), em
entrada de linha, na fase “a”, para o retificador trifásico híbrido. 100% da potência nominal.

Eletrônica de Potência, vol. 13, no. 4, Novembro de 2008 247


Contudo, isto não causou nenhum problema para o ic (ω.t )
funcionamento do protótipo implementado, resultando em DHT=7,53%
um fator de potência quase unitário (FP=0,99). No intuito de 1
Icef=1,76 A
avaliar o desempenho da técnica de controle digital proposta
para o retificador trifásico híbrido, operando em regime
i b (ω.t )
permanente, considerando outros valores de carga diferentes DHT=7,07%
da potência nominal, verificou-se a DHT das correntes de 2
Ibef=1,74 A
entrada e o atendimento à norma IEC61000-3-2, também
para os seguintes níveis de potência: 83,33% (P=2,5 kW),
50% (P=1,5 kW) e 20% (P=0,6 kW) da potência nominal.
DHT=8,67%
Com os resultados experimentais obtidos para estes três 3
níveis de potência, mostrados respectivamente nas Figuras Iaef=1,73 A

16, 17 e 18, e analisados através do software Wavestar, i a (ω.t )


concluiu-se que as amplitudes das componentes harmônicas 3A/div; 5ms/div
das correntes de entrada das fases “a”, “b” e “c” encontradas Fig. 18. Formas de onda das correntes de entrada de linha nas fases
estão em conformidade com a norma IEC61000-3-2, sem “a”, “b” e “c”, em 20% da potência nominal.
qualquer filtro adicional na entrada. Observou-se um
acréscimo da DHT das correntes de entrada com a redução 2,4
IEC 61000-3-2IEC 61000-3-2
da potência processada pelo retificador híbrido, entretanto, 2,2
HPF (Fase
Retificador híbrido (Fase"a")
"c")
2,0
há um decréscimo das amplitudes das componentes

Valor máximo eficaz [A]


1,8
harmônicas, facilitando o atendimento à norma nesta 1,6
condição de operação. Assim, considera-se necessário 1,4 DHT = 4,75%
1,2
apresentar para cada nível de potência (83,33%, 50% e 20%) 1,0
o espectro harmônico para apenas uma única fase das 0,8
correntes de entrada (aquela que possui a maior DHT), 0,6
0,4
respectivamente, mostrados nas Figuras 19, 20 e 21. 0,2
0

i c (ω.t )
2 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33
Ordem harmônica
DHT=4,75%
1 Icef=6,80 A Fig 19. Espectro harmônico para a corrente de entrada ic(ω.t), em
83,33% da potência nominal.

i b (ω.t )
DHT=3,74% 2,4
IEC 61000-3-2IEC 61000-3-2
2 Ibef=6,70 A 2,2
Retificador híbrido
HPF (Fase
(Fase"a")
"a")
2,0
Valor máximo eficaz [A]

1,8
1,6
1,4
DHT=4,01% DHT = 5,53%
3 1,2
Iaef=6,92 A 1,0

i a (ω.t )
0,8
0,6
0,4
10A/div; 5ms/div
0,2
Fig. 16. Formas de onda das correntes de entrada de linha nas fases 0
“a”, “b” e “c”, em 83,33% da potência nominal. 2 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33
Ordem harmônica
Fig 20. Espectro harmônico para a corrente de entrada ia(ω.t), em
ic (ω.t ) 50% da potência nominal.
DHT=4,84%
1 2,4
Icef=4,01 A 2,2 IEC 61000-3-2IEC 61000-3-2
HPF (Fase
Retificador híbrido (Fase"a")
"a")
2,0

i b (ω.t )
Valor máximo eficaz [A]

1,8
1,6
DHT=4,46%
2 1,4 DHT = 8,67%
Ibef=4,06 A 1,2
1,0
0,8
0,6

3 DHT=5,53% 0,4
0,2
Iaef=4,15 A
i a (ω.t )
0
2 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33
Ordem harmônica
5A/div; 5ms/div
Fig. 17. Formas de onda das correntes de entrada de linha nas fases Fig 21 . Espectro harmônico para a corrente de entrada ia(ω.t), em
“a”, “b” e “c”, em 50% da potência nominal. 20% da potência nominal.

248 Eletrônica de Potência, vol. 13, no. 4, Novembro de 2008


V. CONCLUSÕES [6] L. C. G. Freitas, M. G. Simões, C. A. Canesin, L. C. de
Freitas, “Performance Evaluation of a Novel Hybrid
Neste trabalho foram apresentadas as análises e resultados Multipulse Rectifier for Utility Interface of Power
experimentais da lógica de controle digital e modulação por Electronics Converters”, IEEE Transactions on Industry
histerese, aplicada em um retificador trifásico híbrido, capaz Applications, vol. 54 , no. 6, pp. 3030-3041, 2007.
de impor a DHT das correntes de entrada de linha, resultando
[7] R. W. Erickson, Fundamentals of Power Electronics,
em um fator de potência elevado para o conversor analisado.
O controle digital usando a modulação por histerese variável Kluwer Academic Publishers, 2ª Edição, Boulder, 2001.
foi descrito em linguagem VHDL e implementado através de [8] A. de Castro, P. Zumel, O. Garcia, T. Riesgo, and J.
um dispositivo FPGA. Foi verificado através dos resultados Uceda, “Concurrent and Simple Digital Controller of an
experimentais analisados que o valor máximo de DHT das AC/DC Converter With Power Factor Correction Based
correntes de entrada de linha não ultrapassou 4,54%, para a on an FPGA”, IEEE Transactions on Industry
potência nominal, resultando em um fator de potência quase Applications, vol. 18, no. 1 , pp. 334-343, January 2003.
unitário (FP=0,99). Através da decomposição das correntes [9] W. Stefanutti, P. Mattavelli, “Fully Digital Hysteresis
de entrada do retificador híbrido, em séries de Fourier, e Modulation With Switching-Time Prediction”, IEEE
usando relações matemáticas do próprio circuito, foi definida Transactions on Industry Applications, vol. 42, no. 3, pp.
uma metodologia de projeto que estabelece uma relação entre 763-769, May/June 2006.
a DHT imposta para as correntes de linha de entrada e a [10] L. Sonaglioni, “Predictive Digital Hysteresis Current
potência ativa total processada pelos retificadores controlado
Control”, in Proc. of IEEE Industry Application Society
e não controlado. Portanto, o principal objetivo desta análise
teórica é obter um valor máximo de DHT para as correntes Conference, vol. 3, pp. 1879-1886, 1995.
de entrada de linha, cujo conteúdo harmônico correspondente
atenda os limites estabelecidos pelas normas internacionais, DADOS BIOGRÁFICOS
e, conseqüentemente, um valor mínimo de potência
processada pelo retificador controlado. Dentre as vantagens Carlos A. Canesin, nascido em 11/07/1961 em Lavínia (SP)
do retificador trifásico híbrido, são destacados os benefícios é engenheiro eletricista (1984) pela Universidade Estadual
econômicos desta topologia, que são extremamente viáveis Paulista (UNESP), mestre (1990) e doutor (1996) em
para instalações de potências médias e elevadas, devido a sua Engenharia Elétrica pela Universidade Federal de Santa
eficiência e desempenho. A potência total ativa processada Catarina (UFSC). Atualmente é professor titular do
pelos retificadores monofásicos SEPIC representa uma Departamento de Engenharia Elétrica (DEE) da UNESP-
fração reduzida de 32% da potência ativa total de saída. FEIS. É editor associado da IEEE Transactions on Power
Além disso, por estarem operando no modo de condução Electronics. Suas áreas de interesse incluem qualidade da
contínua e modulação por histerese, oferecem volume e peso
energia elétrica, técnicas de comutação não-dissipativa,
reduzidos para a estrutura. Adicionalmente, o uso da técnica
de controle digital proposta, implementada em dispositivo conversores CC-CC, retificadores e inversores, fontes de
FPGA, permite uma flexibilidade importante e facilidades alimentação chaveadas, reatores para iluminação e técnicas
para impor uma forma de onda desejável e especificada, para de correção ativa do fator de potência.
as correntes de entrada, incluindo formas de ondas senoidais, Jurandir de O. Soares, nascido em 28/03/1971 em
através do código VHDL, constituindo em uma DHT para as Camapuã (MS) é engenheiro eletricista (2000) pela
correntes de entrada do retificador trifásico analisado, Universidade para o Desenvolvimento do Estado e Região do
verdadeiramente programável. Pantanal, e mestre (2004) em Engenharia Elétrica pela
Universidade Estadual Paulista. Atualmente é aluno do
REFERÊNCIAS BIBLIOGRÁFICAS curso de Doutorado em Engenharia Elétrica da Universidade
Estadual Paulista, área de Eletrônica de Potência. Suas áreas
[1] Std 61000-3-2 and 61000-3-4, “Limits for Harmonic de interesse são: Controle digital e técnicas de correção ativa
Current Emissions”, 1998. do fator de potência.
[2] IEEE Std 519, “IEEE Recommended Practices and Luiz C. de Freitas, nascido em 01/04/1952 em Monte
Requirements for Harmonic Control in Electrical Power Alegre de Minas (MG) é engenheiro eletricista (1975) pela
Systems”, 1992. Universidade Federal de Uberlândia, mestre (1985) e doutor
[3] J. W. Kolar, and H. Ertl, “Status of the Techniques of em Engenharia Elétrica (1992) pela Universidade Federal de
Three- Phase Rectifier Systems with Low Effects on the Santa Catarina. Atualmente é professor titular da
Mains”, in Proc. of IEEE International Universidade Federal de Uberlândia. Suas áreas de interesse
Telecommunications Energy Conference, pp. 1-16, 1999. são: Conversão de potência em alta freqüência, modelamento
[4] L. C. G. Freitas, E. A. A. Coelho, M. G. Simões, C. A. e controle de conversores, circuitos para correção de fator de
Canesin, L. C. Freitas, “Um novo retificador trifásico potência e novas topologias de conversores.
híbrido multipulsos com elevado fator de potência”, Flávio A. S. Gonçalves, nascido em 25/02/1975 em Porto
Eletrônica de Potência – SOBRAEP, vol. 10, n°. 2, pp. velho (RO) é engenheiro eletricista (1998), mestre (2001) e
17-24, 2005. doutor (2005) em Engenharia Elétrica pela Universidade
[5] L. C. G. Freitas, M. G. Simões, C. A. Canesin, L. C. de Estadual Paulista. Atualmente é professor colaborador na
Freitas, “Programmable PFC Based Hybrid Multipulse Universidade Estadual Paulista e pesquisador associado ao
Power Rectifier for Ultra Clean Power Application”, Laboratório de Eletrônica de Potência (LEP). Suas áreas de
IEEE Transactions on Power Electronics, vol. 21, nº 4, interesse são: Qualidade da energia elétrica, controle digital
pp. 956-966, 2006. (FPGA, DSP, Microcontroladores, J2ME) e técnicas de
correção ativa do fator de potência.

Eletrônica de Potência, vol. 13, no. 4, Novembro de 2008 249

Você também pode gostar