Você está na página 1de 81

Editorial _

li Editora Saber Ltda.


Diretores
Hélio Fittipaldi
Thereza Mozzato Ciampi Fittipaldi

ELETRÔnl[R
~_II\FCIAMÃT1CA_A~ Enquanto fechamos esta edição está sendo
www.sabereletronica.com.br
realizada a Feira da Mecânica 2006 no Parque
Editor e Diretor Responsável
Hélio Fittipaldi Anhembi, em São Paulo.
Diretor Técnico
Newton C. Braga
Redação Lá,o pujante setor de máquinas do nosso país, e
Laiz Zanetti, Sérgio Vieira, Viviane Bulbow
ao lado dele alguns estrangeiros, mostram novida-
Auxiliar de Redação
Claudia Tozetto des. Segundo a Abimac exportamos para diversos
Conselho Editorial
João Antonio Zuffo, Newton C. Braga
países e o maior comprador é o Estados Unidos, seguido por México
Colaboradores e Argentina, que se revezam em segundo e terceiros lugares nos
Alessandro F.Cunha, Antonio Cirilo de Souza,
Augusto Einsfeldt, Márcio J. Soares, últimos anos, seguidos por outros menos expressivos em volume de
Newton C. Braga, Roberto Cunha,
Vagner R.dos Santos
fatu ramento.
Designers
Diego M. Gomes, Diogo Shiraiwa,
Fernando Almeida, Jonas R.Alves A concorrência acirrada entre os fabricantes de todo o mundo faz com
Produção que os produtos melhorem muito em gualidade, produtividade e preço.
Yassari Gonçalo
Uma coisa é certa: quem não acompanhar a evolução fechará as portas
VENDAS DE PUBLICIDADE
em pouco tempo. Os fabricantes brasileiros em boa parte já sabem de
Gerente de Negócios da Mídia
Paulo S.Galante tudo isso e estão atentos para adequar a eletrônica do seu produto
Publicidade contra interferências encontradas no chão de fábrica ao fazer parte de
André Zanferrari, Carla de C. Assis,
Ricardo Nunes Souza um sistema automatizado de produção interligado por rede Ethernet,que
Coordenadora Administrativa
Ana Paula Abrucio
não pode falhar. Outros, ainda não se deram conta desta realidade e por

PARA ANUNCIAR: (11) 6195-5339 isso devem dar maior atenção às novas tecnologias.
publicidade@editorasaber.com.br
Capa
Arquivo: Editora Saber Acesse o Hot Site: www.mecatronicaatual.com.br/mecanica2006 e
Impressão acompanhe tudo, desta que é a maior feira da América Latina do setor.
PROL Editora Gráfica Ltda.
Distribuição
Brasil: DINAP
Portugal: Logista Portugal tel.: 121-9267 800
Por falar em eletrônica embarcada, veja nesta edição na página 58
"Intel Embedded Solutions" que são as soluções embarcadas para os
ASSINATURAS
www.sabereletronica.com.br desenvolvedores de projetos para as áreas: médica, automotiva, gomes,
fone: (11) 6195-5335 / fax: (11) 6198-3366
automação industrial, comercial e outras.
atendimento das 8:30 às 17:30h

Edições anteriores (mediante disponibilidade de


estoque), solicite pelo site ou pelo tel. 6195-5330,
ao preço da última edição em banca.

Saber Eletrõnica é uma publicação mensal da


Editora Saber Ltda, ISSN 0101 -671 7. Redação,
administração, publicidade e correspondência:
Rua Jacinto José de Araújo, 315, Tatuapé, CEP
03087-020, São Paulo, SP,tel./fax (1 1) 6195-
5333.
Atendimento ao Leitor: a.leitor.sabereletronica@editorasaber.com.br

Associada da: ANER Os artigos assinados são de exclusiva responsabilidade de seus autores. É vedada a reprodução total ou parcial dos
textos e ilustrações desta Revista, bem como a industrialização e/ou comercialização dos aparelhos ou idéias oriundas
Associação Nacional dos Editores de Revistas dos textos mencionados, sob pena de sanções legais. As consultas técnicas referentes aos artigos da Revista deverão ser
feitas exclusivamente por cartas, ou e-mail (NC do Departamento Técnico). São tomados todos os cuidados razoáveis na
preparação do conteúdo desta Revista, mas não assumimos a responsabilidade legal por eventuais erros, principalmente
nas montagens, pois tratam-se de projetos experimentais. Tampouco assumimos a responsabilidade por danos
resultantes de imperícia do montador. Caso haja enganos em texto ou desenho, será publicada errata na primeira
Associação Nacional das Editoras de Publica- oportunidade. Preços e dados publicados em anúncios são por nós aceitos de boa fé, como corretos na data do
ções Técnicas, Dirigidas e Especializadas fechamento da edição. Não assumimos a responsabilidade por alterações nos preços e na disponibilidade dos
www.anatec.org.br produtos ocorridas após o fechamento.
"Indice

Módulo Reversor Temporizado


08 Intel Embebbed Solution
Desenvolva projetos da área médica,
games, automação industrial, comer-
Controle de Carga AC com cial e ...
conjunto TRIAC/MOC
10
Equipamentos médico-hospita-
Lógica Programável - lares: normalização, qualidade
Gerador de Números
16 e responsabilidade

Conheça o Sistema Brasileiro de Certifica-


Teste e Identificação de ção e sua importância para os produtos
Diodos de Silício
26 que lidam com a saúde.

LM2426
28 Microcontroladores Holtek -
Família HT48E Parte 2

o que você precisa saber Estrutura


sobre capacitores eletrolíticos
29 básica, memó-
ria de programa

An e dados do micro-
ESD ou descargas eletrostáticas
____ =-tll controlador HT 48E. c... A
TPM System - A eletrônica
embarcada nos pneus
---~
TV Digital - Fundamentos e
Veja os novos sistemas de medidas automáticas
protocolos do MPEG - Parte 2
de pressõo e temperatura de pneus que estõo
se tornando uma tendência.
Neste segundo artigo,
aprenda os princípios
da compressão de
Sistema de Rede
vídeo, fundamentados
CAN-Bus de dados
em nossa percepção
GM Corsa e Meriva
visual.

Editorial
~. Inglês na Eletrônica 2-4
Seção do Leitor
3J Circuitos Práticos
2.6
Acontece ABEE
fi 312
2 I SABERElETRÔNICA 401 I Junho 2006
Download do Código-Fonte
"Não consegui encontrar o código-fonte do artigo 'Equalizador Gráfico com
dsPIC Foi prometido no artigo que ele estaria disponível para download no
site, mas não consigo achá-Ia. Podem me ajudar?"
Hernani Oliveira Mendes
Cidade do Porto I Portugal 9!t!i!!iH· [§!it.l!ttt.r.utn rUE

Para todos 05 projetos que necessitam de código-


fonte para seu fu.ncionamento, nossa Redação
disponibiliza os arquivos em nosso site para que
05 leitores possam balxá-lo gratuitamente. Todos
eles ficam disponíveis na seção Downloads, dis~
ponível no link www.sabereletronica.com.br/
downloads.

Display de Mensagens plexa (dupla face) é bastante comum. Wi-Fi que substituem cabos? O pro-
Publicitárias - SE 386 O mesmo acontece com placa do tipo tocolo 89.2.11 só transporta dados,
padrão. Recomendamos uma reavo- tipo internet. Não serve para conectar
"Estou com um problema no fun- liação de todos 05 passos que envol- periféricos? As empresas msi.com.tw
cionamento do circuito, e já testei veram sua montagem (confecção da e ioger.com possuem equipamentos,
todos os componentes, que estão placa, montagem dos componentes, MP3 player (no caso da MSI) e módu-
funcionando corretamente. O dis- etc). Uma outra recomendação muito Ias (no caso da loger),que conectados
playestá escrevendo muito rápido importante diz respeito a lista de mate- a uma impressora paralela, serial, ou
e também está fora de sincronismo. riais. Ela deve ser seguida à risca. Note USB as ligam sem fios ao computador.
Além disso, só ficam piscando os leds que o PIC utilizado não possui o sufixo No site bluetooth.com são listados
do primeiro 74HC154, retornando ao ':4" e assim deve ser em seu protótipo. vários produtos que se conectam do
início e, depois repetindo tudo de Outro detalhe diz respeito ao clock mesmo modo, mas quanto ao Wi-Fi
novo. O arquivo .hex disponível no (cristal), que não deve ser.aumentado não encontrei nada. Porquê?"
site tem alguma alteração?" sob hipótese alguma. As temporiza- Adão Outra do Nascimento
Marcos Antônio Gonçalves ções utilizadas no programa depen- Falta o cargo e a empresa.
Eletrotécnico - Petrobrás dem disso. Para ajudá-Ia na gravação Porto Alegre I RS
Cidade I Estado do microcontrolador, verifique se 05

fusíveis estão como segue: O conceito de desenvolvimento do


Não há qualquer problema com o - WDT - desabilitado sistema Wi-Fi pode substituir, sim, os de
arquivo .hex disponibilizado em nosso - PWRT- desabilitado cabo de dados de periféricos como, por
site. O projeto foi exaustivamente tes- - BODEM - desabilitado exemplo, o cabo que liga uma impres-
tado pelo autor e temos notícias de - LVP- desabilitado sora ao PC Para que isso seja possível,
muitos leitores que obtiveram sucesso - CPD - desabilitado tanto o PCquanto a impressora devem
nesta montagem. Devemos lembrá-Ia - WRT- desabilitado ter placas, ou dispositivos inseridos
que o projeto é complexo e a confecção - DEBUGGER- desabilitado que trabalhem com a tecnologia Wi-FI.
da placa requer muito cuidado. Devido - Oscilador - XT. É ai que está o problema: a indústria
a esta complexidade utilizamos os da informática já tem um protocolo
serviços de uma empresa especializada WiMAX: a revolução sem específico para a comunicação entre
para a confecção das placas de nosso fio - SE 400 periféricos, que é o Bluetooth. Como foi
protótipo. Cometer erros na montagem abordado na matéria, o alcance dos
ou confecção de uma placa mais com- "Por que não existem dispositivos sistemas Bluetooth é curto, da ordem
de 10m. É exatamente este o alcance da do pc, como acontece hoje em dia atra- comuns e a necessidade destes disposi-
maioria dos periféricos para computa- vés das redes cabeadas em qualquer tivos justifiquem a produção por parte,
dor, por isso este se tornou o padrão escritório que tenha a impressora com- da indústria.
do mercado. partilhada.
Qual a taxa de transmissão necessária Mas mesmo assim, o leitor tem razão.
para se comunicar com um teclado? Não é comum encontrar dispositivos
Envie seus comentários, críticas
Baixa! O Wi-Fi permite taxas de trans- periféricos que utilizem a tecnologia
e sugestões para a.leitor.saberele
missão muito mais altas do que é Wi-Fi. O mesmo deve acontecer, inclu- tronica@editorasaber.com.br.
necessário para os periféricos. Seria um sive, com a tecnologia WiMAX, que é As mensagens devem ter nome
desperdício. Dado o alcance do sistema recente e não tem estes dispositivos completo, ocupação, empresa e/ou
WiFi (até algumas centenas de metros) desenvolvidos. Cabe aqui uma aposta: instituição a que pertence, cidade e
seria possível se comunicar com uma é uma questão de tempo para que as Estado. Por motivo de espaço, os textos
impressora a uma distância razoável redes Wi-Fi tornem-se cada vez mais
podem ser editados por nossa equipe.

o qUe você precisa saberccc


Unidades que não são do Sistema SI,
mas que podem ser usadas.
Apesar do Sistema SI ser completo, aceitas para uso com o Sistema SI, Eng. Prof. Walfredo Schmidt
o enraizado uso de outros sistema de sem restrição de prazo. Trata-se das
medição em épocas passadas, como Unidades: • caloria
os sistemas MKS, MKS Giorgi, Sistema • cavalo-vapor
Inglês, etc, fazem com que até hoje, • Unificada de Massa Atômica; da • urie
entre nós e alguns outros países, tonelada, • gal
encontremos o uso de unidades que • do minuto, da hora e do dia, • gauss
não são do Sistema SI.Uma parte destas • da rotação por minuto ( rpm), • hectare
passaram a fazer parte do Sistema • do eletronvolt ( energia), • quilograma-força
SI, permanente ou temporariamente. • do decibel ( ruído) e • milímetro de mercúrio,
Para cobrir esta parte, o Quadro de • do neper (medindo o decremento • milha marítima
Unidades possui as tabelas 111 e IV. logarítmico ). • nó
Outras unidades que não perten- • quilate
cem ao Sistema SI, entretanto, ainda Para todas estas, a tabela indica o • rad (radiano)
estão em uso e, oficialmente, não respectivo valór em unidades SI, para • roentgen, e
deveriam mais ser utilizadas. Entre efeito de conversão, se for necessário, • rem.
estas, uma das mais comuns é a unidade Já na tabela IV, estão unidades que
de medida da pressão dos pneus, onde não são do Sistema SI, mas que são O uso destas unidades deve, por-
o frentista do posto de gasolina precisa admitidas apenas temporariamente. tanto, merecer um cuidado especial
apenas saber que queremos a pressão Portanto, neste' caso, qualquer revisão pelos seus usuários,
de "28" ou de "30", para providenciar a do Sistema SI poderá estar eliminando devendo-se preferir a
calibragem. Entretanto, a unidade usada a unidade, fato que nos leva à recomen- utilização da unidade
é a libra/polegada quadrada, que de dação de que o leitor que as use, faça Si correspondente,
modo nenhum, nem temporariamente, uma verificação periódica junto ao sempre que houver.
é admitida pelo Sistema SI. Como e INMETRO para saber da validade de uso
quando vamos resolver este e outros ou não. São desta tabela, as unidades:
assuntos iguais? Uma questão para o Para mais infomações
INMETRO responder. • ângstrom consulte o livro
Metrologia Aplicada
Vejamos o conteúdo das tabelas • atmosfera à venda pelo telefone
11Ie IV. • bar (11) 6195-5330 ou
Na tabela 11I, estão unidades • bam www.sabermarketing.com.br

•• . 'I . ".
Dispositivos de circuitos de proteção da Raychem sempre tornam os produtos eletrônicos mais
seguros e mais confiáveis. Agora eles tornam-se mais amigáveis ambientalmente também. A
iniciativa de Restrição sobre Substâncias Perigosas (RoHS - Restrictions of Hazardous Substances)
terá efeito brevemente. A Raychem Circuitos de Proteçâo agiu prontamente para ajudá-lo a estar de
acordo com estas iniciativas.

Todos os produtos de nosso vasto portfolio de soluções de circuitos de proteção são RoHS
compatíveis e para pronta-entrega. Ir ao encontro de novos requisitos ambientais é critico. Também é
critico proteger os seus circuitos-e o nosso planeta-com as novas soluções que você só pode obter
da Raychem Circuitos de Proteção. Obtenha amostras gratuitas e mais informações na nossa on-line
resource center: www.circuitprotection.comjrohs ..

COMPROMETIDO PARA UM
PLANETA MAIS VERDE
BPM RepresentaçõesLtda lntertek Componentes EletrônicosLtda FutureElectronics Avnet do Brasil Panamericana I Arrow Componentes
RuaAmérica Brasiliense.21 71 cj. 404 RuaMiguel CasaGrande.200- Rual.uzitena. 740 - 10' andar- RuaLuisGóis. 1205 - 2' andar Eletrônicos
04 715.Q05 - São Paulo-SP - Brasil Freguesiado Ó cj.103/104 04043-300 - São Paulo-Sê- Brasil RuaJosé Gomes Falcão.111
Tel: 1151814788 02714.Q00 - São Paulo-SP- Brasil 13015-121 - Carnoinas-Sf'- Brasil Tel: 11 50792150 O 1139.Q 1O - São Paulo-SP- Brasil
Fax: 1151814790 Tel: 11 3931 2922 Tel: 19 3737 4100 Fax: 11 50792160 Tel: 11 36139330
www.bpmrep.com.br Fax: 11 3931 4097 Fax: 19 3236 9834 WrNW.em.avnet.com Fax: 1136139355
www.intertek.cornbr W'WW.future.ca WINW.pan-arrow.com.br

C 2005 TycoElectroncs Coporatoo

urna parte vital de seu mundo t'lCD


Electronics
• Acontece

Finder lança site totalmente reformulado 5teffen Hahn assume


a vice-presidência de Engenharia
da Quellan
~ instalações A Quellan, fornecedora de semicondutores
analógicos, anunciou que Steffen Hahn entrou para

[a"létricas .com a companhia como vice-presidente de Engenharia.


A medida busca acelerar a expansão de início dos
semicondutores analógicos. "Ele traz uma vasta
perspectiva em engenharia e tem um excelente
o lançamento do site www.instalacoeseletricas.com está histórico em design e produção crescente de semi-
previsto para junho de 2006, e ele foi reformulado para condutores analógicos de baixa energia", justifica
facilitar a navegação dos usuários. O objetivo é difundir a Tony Stelliga, CEO da Quellan.
tecnologia dos produtos da empresa nas áreas de automação O engenheiro tem dezessete anos de experiência
residencial, predial e civil. em gerenciamento de design analógico e engenharia
Dentre as novidades, estão cases de obras onde utilizam e traz para a Quellan um apoio executivo extra
produtos Finder, área de informações sobre os produtos durante a. expansão da companhia, após recentes
e suas aplicações e ainda artigos técnicos e uma seção de investimentos da Samsung e da Menlo Ventures.
perguntas freqüentes (FAQ). Formado em engenharia eletrônica, Hahn é
Para os professores e diretores de escolas, o site conta também mestre em eletrônicos de estado sólido
com a seção Didattico, que dispõe de informações sobre os pela Aachen University, da Alemanha. Antes de
kits didáticos da Finder, treinamentos e palestras e possui entrar para a Quellan.- ele foi diretor sênior de
também uma área restrita para atualização de apostilas e desenvolvimento de RF IC da Airgo Networks,
apresentações para escolas que já possuem o kit. em Palo Alto, Califómia. Além disso, sua carreira
Além disso, o usuário pode se cadastrar para receber passa pela Philips Semiconductor, onde trabalhou
o "Finder N ews BR", um newsletter que disponibiliza entre 1989 e 2002, na Alemanha e em Sunnyvale,
informações sobre eventos, cursos e tem também um Califómia. Lá, ele desenvolveu rádios WLAN para
espaço para postar questões e sugestões que poderão ser Aeroportos da Apple Computer e as plataformas
incorporadas ao FAQ. sem fio Centrino da Intel.

. .. . ... .•. . . .. ....

Os cientistas da empresa NTT conseguiram fabricar LEDs Nos primeiros três meses do ano, a indústria
capazes de emitir luz com o menor comprimento de onda já eletroeletrônica abriu 2.940 novas vagas, ele-
observado em semicondutores. A onda atinge apenas a região do vando para 136.420 o número de trabalhadores
ultravioleta profundo, ou seja, entre 200 e 300 nanômetros, do setor. Os dados são da ABINEE - Associação
Já existem LEDs que emitem luz na faixa do azul e do ultravio- Brasileira da Indústria Eletroeletrônica e são
leta, mas somente até o comprimento de onda de 365 nanômetros, comparados aos resultados obtidos no ano
portanto, ainda na faixa do quase infravermelho. Estes LEDs são passado.
feitos de nitreto de gálio (GaN), os desenvolvidos agora pela NTT Em relação a dezembro de 2005, quando
são de nitreto de alumínio (AIN). foram registrados 133.480 empregos diretos, o
Segundo os cientistas, ainda é cedo para pensar em substituir crescimento foi de 2,2%. Se comparado ao mês
as lâmpadas de mercúrio, atualmente utilizadas, pois os LEDs de setembro do ano passado, o número chega
desenvolvidos ainda exigem uma tensão muito alta e a luz a um crescimento de 3%, com 3.950 novos
produzida ainda é muito fraca para uma operação prática. Mas, trabalhadores. As estimativas do setor são de,
certamente, o maior obstáculo foi a criação do novo componente. no final de 2006, estar empregando cerca de
Os cientistas afirmam que sua otimização é apenas questão de 138 mil trabalhadores.
tempo.

6 I SABER ElETRÔNICA 401 I Junho 2006


Acontece _

WDC Networks lança solução baseada Qualcomm assina convênio com


na tecnologia Powerline Universidade de São Paulo
A WDC Networks, distribuidora de soluções WiMax e A empresa Qua1comm Incorporated firmou um
Wi-fi, VoIP e IP Surveillance apresenta a AirLive HP-2000E convênio com a USp, para patrocínio dos estudos de
da Ovislink, uma bridge Ethernet baseada em tecnologia três doutorandos da Universidade. O investimento
Powerline, que agora poderá ser adquirida no mercado total foi de US$ 200 mil. Segundo a empresa, o acordo
brasileiro. faz parte dos planos que a empresa começa a colocar
O produto estabelece uma rede wireless em pequenos locais, em prática para incentivar o fomento da educação.
como casas ou pequenas empresas. A conexão é realizada "Temos idéias também de lançar algum programa
utilizando a rede elétrica existente, onde o usuário poderá voltado para os estudantes de graduação e mestran-
ligar computadores ou dispositivos de rede em diferentes dos, mas ainda não há nada confirmado", prevê
salas no interior do local. O dispositivo também pode ser Valerijonas Seivalos Junior, vice-presidente de
usado para ampliar uma rede wire/ess dentro da chamada operações para o Brasil. Segundo a empresa, esse
dead zone da casa, uma vez que os sinais wireless não podem projeto poderá contar com prêmios para os melhores
atravessar paredes grossas. trabalhos, como viagens, treinamentos em Universi-
A AirLive HP-2000E emprega o novo standard 85Mbps dades e até estágios dentro da própria Qua1comm.
Powerline. Este dispositivo permite distribuir até quatro O convênio foi feito através da Fundação para o
vezes mais rendimento que o ante- Desenvolvimento Tecnológico da Engenharia (FDTE).
rior standard 14Mbps Home- De acordo com o vice-presidente da empresa, o
plug. Seu funcionamento interesse surgiu após uma visita à .Universidade.
depende do intervalo "Ficamos impressionados com a quantidade de
global entre Llüe trabalhos na área", conta.
240 volts, o que Os critérios para a seleção dos bolsistas serão
facilita o acesso os mesmos adotados pela FAPESP - Fundação de
dos usuários em Amparo à Pesquisa do Estado de São Paulo. O
qualquer parte programa está previsto para começar em setembro
do mundo. O de 2006 e terá duração de três anos. Na primeira
preço do AirLive etapa, os bolsistas estudarão na própria USp, e depois
HP-2000E está em apenas dois serão selecionados para realizar a outra
cerca de R$ 335,00. etapa nos Estados Unidos. Mesmo assim, todos
finalizarão o doutorado no Brasil.

Cerca de 190 pessoas compareceram ao NI bays A Abinee realiza no dia 28 de junho, das 9hOOàs
2006, conferência mundial de instrumentação, realizada 18hOO,o curso sobre Diretivas Européias WEEE & RoHS.
no Brasil em 25 de abril, e promovida pela National Os palestrantes, Rogério da Cruz Carvalho e Marcus
Instruments. Engenheiros e cientistas assistiram às Sérgio Piaskowy, apresentarão um panorama sobre as
conferências que contaram com a apresentação de novos diretivas ambientais européias, além de seu histórico
produtos da empresa, sessões práticas focadas em e status nos principais países do bloco europeu. Serão
tecnologia e demonstrações de diversas soluções para abordadas também as implicações estratégicas e
projeto, controle e teste. comerciais para empresas envolvidas na exportação
Na ocasião foi lançado o novo sistema modular de para Europa e Estados Unidos e os principais elementos
aquisição de dados baseado em USB da National, o NI para que as empresas desenvolvam um plano de ação e
CompactDAQ. A ferramenta realiza medições elétricas e de busquem a conformidade com as exigências legais.
sensores em bancadas, no campo e na linha de produção. O investimento no curso é de R$ 300,00 para asso-
"O barramento USB tem obtido grande popularidade ciados da Abinee/Sinaees, e R$ 390 para não associados.
como resultado de sua facilidade de uso e grande presença O curso será realizado no auditório da associação, e
na eletrônica moderna", afirma Dr. James Truchard, mais informações podem ser obtidas no site da Abinee
presidente, CEO e co-fundador da National Instruments. (www.abinee.org.br/informac/cursos/index.htm).
Mais informações no www.ni.com/daq.

Junho 2006 I SABER ElETRÔNICA 401 I 7


•• Projetos

Módulo Reversor 111111111111111


1111111111111111 Temporizado
o circuito que apresentamos
aqui serve para inverter o sentido de circulação
da corrente através de uma carga por um tempo determinado por C 1 e R 1.
Dentre as aplicações possíveis, sugerimos automatismos em que, ao se ativar um
sensor de segurança, um motor de acionamento deva inverter imediatamente
seu sentido de rotação por alguns segundos. Outras aplicações dependerão
apenas da imaginação do leitor.
_________________________________________________________________________ Newton C. Braga

plicações possíveis: sentido quando está desenergizado, e ordem de aLguns minutos podem. ser

A - Sistemas de segurança
-Automação
- Robótica
- Sinalização
no sentido oposto quando sua bobina
é energizada.
Se a carga for um motor, teremos
sua reversão pelo tempo determinado
por RI e CI. Se a carga for formada
conseguidos com facilidade.
Um trimpot de 47'k ohms, em série
com um resisto r de 1 k ohms, pode
ser usado para se obter um ajuste de
temporização. Ele será ligado em lugar
Quando o sensor do circuito mos- por outro circuito sensível à circulação do resistor.
trado na figura 1, fecha seus contatos de corrente, por exemplo, dois LEDs Não será necessário usar radiador
por um instante, o capacitor CI car- diferentes em oposição, teremos a de calor para o transistor, uma vez
rega-se. A partir desse momento, o mudança da cor de sinalização. que a corrente do relé é bastante baixa.
transistor QI é saturado fechando os A escolha de RI' assim como do· Transistores de alto ganho e de menor
contatos do relé. relé, vai depender da aplicação do potência como o BC548 também fun-
Mesmo depois que o sensor tem circuito. cionarão, mas o relé não deve ter
seus contatos abertos, a carga do Veja que a alimentação' do circuito, corrente de acionamento maior do que
capacitor CI mantém o transistor em e conseqüentemente do relé, é inde- 50 mA, nesse caso.
condução e com isso o relé permanece pende da alimentação da carga, o que ° sensor pode ser qualquer tipo
ativado. torna o circuito mais versátil. . NA (Normalmente Aberto), como
°
relé de contatos duplos reversí- Valores excessivos- de RI e de CI um reed-switch, um microswitch ou
veis é ligado de tal forma que faz devem ser evitados, pois fugas podem ainda chaves de fim-de-curso e outros
circular pela carga a corrente em um afetar a temporização. Tempos da dispositivos se segurança.
É possível também conectar diver-
sos sensores em paralelo, de modo
+ 6/12 V
~----------t_~~========~----------~1 a se obter um acionanento múltiplo
do módulo. Nesse caso, a função que
01 ~~---------r----------------~2 regerá seu funcionamento será ".OU".
1N4148 Para uma função "E", basta ligar os
R1 Entrada
sensores em série, conforme mostra
47kn
~-------+----r-----------------_o3 a figura 2.
a 10 kn
Um sistema adicional pode ser

~10a
C1

~200~F
1 5 L...--------i Sarda
4
agregado para que, após a reversão, o
circuito pare, não voltando à condição
normal de circulação. Isso pode ser
Carga
implementado com recursos mecâni-
cos como catracas ou ainda eletrôni-

8 I SABERELETRÔNICA 401 I Junho 2006


cos, como um SCR (chave lógica com base DIL. Se forem usados outros tipos
+
trava). de rel és, alterações nesse desenho
N a figura 3 damos uma sugestão deverão ser feitas. A inte~sidade da
de placa de circuito impresso para corrente controlada irá determinar a
implementação desse projeto. largura das trilhas desses contatos, se
O circuito ainda pode ser usado não houver necessidade de conexão
para simplesmente manter uma carga direta. E
acionada por um certo tempo, bas-
tando para isso usar os contatos NA- Lista de Materiais ~
e C do relé. Nessa situação, pequenas
Ql - TIP II O ou equivalente - qual-
alterações nas ligações da placa devem quer transistor Darlington NPN
ser feitas. DI - IN4148 - diodo de uso geral
Lembramos que o desenho da Xl - Sensor NA (ver texto)
placa foi elaborado para relés com C, - 10 IJF a 2 200 IJF - capacito r
eletrolítico conforme temporização
- ver texto
RI - 10 kQ a 47 kQ x 1/8 W -
resistor conforme temporização -
ver texto
Kl - Relé de 6 ou 12 V - bobina
.até 100 mA - conforme tensão de
alimentação
6
Diversos:
Placa de circuito impresso, fios,
~
solda, fonte de alimentação, etc.

~ICML Mlcroclrculls
OMi has lhe solulion!
COMMUNICATION SEMlCONDUCTORS
www.cmlmicro.com/Telecoms/BR

Junho 2006 I SABER ELETRÔNICA 401 I 9


•• Projetos

Controle de cargas AC
com conjunto TRIAC/MOC
Relé de Estado Sólido
A aplicação de microcontroladores e PCs no mundo da
automação nos dias atuais é bastante comum. E em algumas
dessas aplicações o controle de cargas CA (corrente
alternada) é ponto vital do projeto. Controlar este tipo
de carga com relés é o mais usual, porém em alguns
casos o uso de outros dispositivos, como o TRIAC,
pode ser mais interessante. Neste artigo demonstraremos
como controlar uma carga CA através do conjunto TRIAC e
MOC, construindo assim um relé de "estado sólido':
_________________________ Márcio José Soares

A Proposta que poderá ser facilmente utilizada o Circuito


em conjunto com um microcontrola-
o controle de uma carga CA atra- dor ou mesmo PC permitindo as mais Na figura 3 o leitor tem o circuito
vés de um microcontrolador (ou variadas automações, tais como: elétrico do projeto apresentado. Se o
mesmo PC) utilizando um relé é bem - Controle de lâmpadas CA para . leitor observar atentamente, o mesmo
simples, e já foi demonstrado em sinaliza dores (industriais, prediais e possui quatro "blocos" iguais. Assim,
muitos projetos nesta Revista. A carga residenciais) a análise de um dos "blocos" é sufi-
é ligada ou desligada por meio de - Controle de pequenos motores ciente para a compreensão de todo o
seus contatos, que atuam como uma CA (em qualquer ambiente) circuito, uma vez que analogamente
"chave mecânica". O controle desta - Controle de cargas resistivas tudo o que for dito para um será
chave é feito através da bobina do relé. como aquecedores e outros válido para os demais.
A figura 1 demonstra o que foi dito. - Controle de eletrodomésticos em CII é um MOC3041 (acoplador
Porém, em alguns casos o relé automações residenciais óptico). Este CI possui internamente
pode ser substituído por uma "chave - etc. um emissor de raios infravermelhos
semicondutora" como um TRIAC, Com uma boa dose de criatividade no lado do "controle". No lado da
por exemplo. Veja a figura 2. o leitor encontrará muitas outras "carga", ele possui internamente um
Essa figura ilustra como a aplica- aplicações pará o projeto proposto pequeno TRIAC com um circuito de
ção de um TRIAC no controle de uma neste artigo. "Zero Crossing Detector" (Detector
carga AC é bem simples. Com a asso-
ciação ao TRIAC de um acoplador
óptico específico, como o MOC3041,
pode-se construir facilmente um "relé
de estado sólido" para controle de
Carga Rede
cargas CA.
Q)
elétrica
Neste artigo o leitor receberá infor- "2
mações que lhe permitirão montar co
Ü
uma pequena placa com quatro destes
"dispositivos" (relés de estado sólido), Fl.Controle de carga CA F2. Controle de carga CA
através de um rei é através de um fRIAC

10 I SABERELETRÔNICA 401 I Junho 2006


R9
330

Cll CI3
MOC304l MOC304l

R13 R14
330 360

CI2 CI4
MOC304l MOC304l

N
N
<U
~
<U
Ü

F3. Circuito elétrico

de passagem por zero). Isto permite R2 ajusta a corrente para o TRIAC e a alimentação CA. Nos conectores
o controle de um TRIAC externo de interno a CI1 evitando a sua queima está descrita a maneira correta para
maior potência sem a necessidade de por excesso de corrente. R3 impede a ligação da CA: "linha" (ou fase) e
uma fonte DC no lado da carga. Como a ligação do TRIAC1 (TICl) devido "neutro".
existe a presença de um diodo emissor a interferências que possam ser indu- Como dito anteriormente, a aná-
de IR, fica evidente o isolamento entre zidas no circuito. R4 e C1 permitem lise feita para esta parte do circuito é
a carga e o controle. Na figura 4 o um desacoplamento maior da carga válida para todo o resto do circuito,
leitor pode ver o diagrama interno e evitam interferências na rede por visto que este se repete.
do MOC3041. parte do próprio circuito. Estes com-
O resistor RI limita a corrente de ponentes são opcionais e usados de
controle para CI1• Para o MOC3041 acordo com cada caso. Montagem
está corrente é da ordem de 15 mA O TRIAC escolhido para o circuito
máximos, com entrada de 3 V (ddp foi o TIC226D. Esta versão trabalha Na figura 5 mostramos nossa
sobre o diodo emissor IR). O resistor com tensões de até 400 V sob correntes sugestão de "lay-out" para a confec-
de até 8 A. Cabe porém salientar que ção da placa de circuito impresso.
Coupler Schematic
a tensão de trabalho do MOC3041 fica Não recomendamos a montagem em
,--_--n 6 em torno de 115 VAC a 240 VAC. placas-padrão ou mesmo matriz de
contatos, devido à natureza deste
Obs.: Quanto maior a tensão e circuito (circuito com pontos "vivos"
4 corrente de trabalho a que o TRIAC ou "quentes" eletricamente - perigo
for submetido, melhor terá de ser o de choque).
seu dissipador de calor. Fique atento O "lay-out" da placa possui apenas
4-Main terminal a este quesito. uma face e sua confecção não é com-
l-Anode
5-Substrate
2-Cathode
do not Connect
plexa. A única atenção a ser dada diz
3-NC
6-Main Terminal É importante perceber que o cir- respeito à largura das trilhas e ilhas,
cuito exige atenção ao ligar as cargas que não podem ser finas demais. ~

Junho 2006 I SABER ELETRÔNICA 401 I 11


•• Projetos

10 0 1

Carga 1 Carga 3 Carga 4


~~1
FDDN
2 ~
FOON I~~
FD N

I~ ~I
FS. Lay-out de circuito impresso

Tome muito cuidado durante o utilizados são todos de 1/8 de watt e controle (IN_DC1 a 4) conectores
.traçado do circuito, caso o faça manu- (dissipação). Os capacitores C1 a do tipo KRE parafusáveis. Isso per-
almente. Nestes casos é muito comum C4 são de poliéster com tensão de mite um excelente acabamento e fácil
aproximar demais duas trilhas ou trabalho de 250 V para a alimentação conexão. Na falta dos mesmos, o leitor
mesmo ilhas, provocando o curto das da rede elétrica de 115 V (até 127 V) poderá soldar os fios diretamente à
mesmas. Lembre-se que se trata de e de 400 V para a alimentação de até placa.
uma placa que conduzirá correntes 240 V (rede elétrica de 220 V). O emprego de tomadas apropria-
mais elevadas que o habitual e qual- Os resistores não são polarizados das à entrada de tensão de cada carga
quer curto poderá provocar sérios e não requerem cautela em sua mon- também é uma possibilidade. A forma
danos a ela, componentes, rede elé- tagem. Tome apenas cuidado na sua como estas ligações serão feitas (com
trica e também ao "usuário" (neste inserção ao circuito. O leitor notará ou sem conector, com tomada ou
caso, você leitor!). pelo código de cores dos mesmos ligação dos fios de forma direta, etc.)
Após a confecção da placa, monte que é bastante fácil se confundir fica por conta de cada um.
os componentes tomando os devidos e, conseqüentemente, montá-los de Na explicação sobre o funciona-
cuidados. Os TRIACs e MOCs são maneira trocada. mento do circuito foi dito algo sobre
componentes polarizados. Monte-os Em nosso protótipo utilizamos a necessidade do uso de dissipadores
de maneira adequada e conforme para a ligação das cargas (CARGA1 a de calor para os TRIACs. Procure
indicado na figura 5. Os resistores 4), entrada de alimentação (IN_AC1) dimensioná-los de maneira a evitar o ~

12 I SABER ELETRÔNICA 401 I Junho 2006


o .- amplia as vantagens dos microcontroladores de 8 bits da
~lWIt!m.m:J. m1r!.'fI no mercado de ultra baixo custo.
Baseado na arquitetura RS08 com conjunto reduzido de instruções, o KA2, tanto na
versão de seis quanto na de oito pinos oferece, a um custo reduzido, mais memória

• • - -. FLASH que os seus competidores e mais ampla faixa de tensão de operação, para
operar eficientemente em todos os tipos de equipamentos de consumo, desde
brinquedos e escovas de dente até caixas de som e sistemas de iluminação.
A facilidade de implementação e de integração ajudam até mesmo os projetistas
, 1kB / 2kB iniciantes a diminuir o tempo de projeto de muitos meses para poucas semanas.
FLASH O KA2 amplia as fronteiras da linha de microcontroladores de 8 bits de baixo custo da
Freescale e cria novas oportunidades de negócios.
MTIM 8 bits Através da parceria firmada entre a Farnell-Newark InOne e a Freescale
Semiconductor, você poderá comprar, via internet e em qualquer quantidade, os
componentes da Freescale e efetuar consultas de preços em reais, verificar o estoque
de produtos no Brasil e no exterior, visualizar informações técnicas, além de contar com o suporte técnico da Central
de Atendimento da Farnell-Newark InOne .


www.farnell-newarkinone.com.br
•••.•

Fone (11) 4066-9400


t •.•••• tA ••••• - A •

FARNELL NEWARK
Fax (11) 4066-9410
saber@farnell-newarkinone.com
www.farnell-newarkinone.com.br
ln~.~.
_ Projetos

sobre-aquecimento dos mesmos. Não "on/off" e um "rabicho" (tomada). outras chaves no circuito, poderá ligá-
se esqueça de utilizar isolantes entre Prepare estes itens conforme a figura 6. Ias e desligá-Ias, comprovando o fun-,
os TRIACs e o dissipador. A "carcaça" O leitor deve ter percebido que a cionamento de cada um das quatro
dos TRIACs está eletricamente ligada entrada da alimentação da rede foi entrada e saídas. Caso contrário,
ao pino MT2• Desta forma evitamos ligada conforme indicado na placa. terá que desligar o circuito, ligar a
criar um circuito com perigo de choque A maneira como "fase" e "neutro"· lâmpada a uma outra saída e a chave
elétrico no radiador de calor (parte devem ser ligados foi respeitada, a sua respectiva entrada e refazer o
mais à vista e fácil de se tocar). conforme comentado anteriormente, e teste para cada uma delas. Lembre-se
A inserção da placa em um gabi- demonstrado tanto no circuito elétrico de desligar a alimentação!!!
nete plástico é bastante recomendável. quanto no "Iay-out" da placa. Confira No começo do artigo foi dito que
Evite utilizar a placa de maneira a montagem de testes com o mesmo o controle poderia ser feito facilmente
"exposta". Lembre-se que temos pre- cuidado que teve anteriormente. através de um microcontrolador ou
sente na placa ligações com a rede Cheque tudo. mesmo Pc. Na figura 7 o leitor tem
elétrica e o perigo de choque é real!!! Agora, alimente o circuito (basta um exemplo para estas ligações.
Além disso, qualquer peça metálica inserir o rabicho na tomada da rede No caso do microcontrolador o
que venha a cair sobre a placa, poderá elétrica). Ligue a fonte de 5 VDC e uso de seus pinos de 1/0 é direto.
provocar um curto-circuito com a acione (ON) uma das chaves. A lâm- Para ligar a carga, o pino de I/O deve
perda de componentes e prejuízos pada ligada à saída correspondente ser levado à condição "high" (estado
à rede elétrica. O uso de caixas metá- deverá acender. Se o leitor utilizou lógico "1") e para desligá-Ia, basta
licas também é possível, porém os
cuidados com a isolação do circuito
Lâmpadas 60W
com relação à caixa e demais itens
presentes na mesma devem ser leva-
dos em consideração. Rede
elétrica

Teste e Uso

Antes de testar o circuito, é con-


veniente fazer uma verificação minu-
ciosa de todas as partes da monta-
gem:
- Confecção da placa (procure
por trilhas e ilhas em curto, ligações
erradas, etc.)
o
- Montagem dos componentes na z
o
placa (veja se não existem componen-
tes invertidos, trocados, etc.)
Liga!
- As ligações feitas para a entrada
Desliga
da alimentação CA e cargas (veja se
tudo está correto).
Não tenha pressa em ligar o cir-
cuito sem ter feito antes uma boa
verificação. Lembre-se que um des-
F6. Circuito preparado para os testes
cuido poderá ser fatal para a placa,
rede elétrica e também para você Ligação da placa Ligação da placa
leitor. Tenha muito cuidado! a um microcontrolador aumPC
Para testar o circuito o leitor pre-
•... 1/01 IN/DC1 (5VDC) D1 2 IN/DC1 (5VDC)
cisará de uma fonte para 5 VOC devi- .g
.!ll 1/02 IN/DC2 (5VDC) D2 3 IN/DC2 (5VDC)
damente regulados e filtrados, uma <li í=:"
a..
~c: 1/03 <>
.!ll IN/DC3 (5VDC) <:::!..
D3 4 IN/DC3 (5VDC)
<li
<>
.!ll
(ou quatro) lâmpada(s) de 60 W com o e, <..l D4 s a..
<> 1/04 IN/DC4 (5VDC) e, IN/DC4 (5VDC)
12
tensão de trabalho de acordo com
Sê a
18-25
a 4(GND)
a rede elétrica onde o circuito será GND
.L IN/DC1 4(GND) GND
.L IN/DC1
utilizado, uma (ou quatro) chave(s)
F7. Usando a placa com um microcontrolador ou PC

14 I SABER ELETRÔNICA 401 I Junho 2006


trocar a condição do pino de I/O para Conclusão
"low" (estado lógico "O").
O leitor que gosta de circuitos
Para o PC, a porta mais indicada microcontrolados e/ou de fazer auto-
matismos com um PC tem agora uma
Obs.: O circuito ovo t" ••parado
forma de controlar cargas CA de
para ser utilizado com a maioria
dos microcontroladores que pos- maneira bastante simples. Esta placa
suem nível de tensão 5 VOC para poderá facilmente ser adicionada a
o estado lógico" I" e O VOC para projetos de automação, bastando que
o estado lógico "O". Os microcon- o leitor desenvolva a parte (circuito)
troladores alimentados com 5 VOC de controle com um microcontrolador
trabalham com tais níveis. ou um Pc. O uso da mesma nas mais
variadas aplicações depende única
para este tipo de controle é a porta e exclusivamente da cri atividade
paralela (LPT), pois a mesma trabalha do leitor. Boa montagem e até a pró-
com níveis de tensão muito parecidos xima! E
à maioria dos microcontroladores (O e
5 VDC). O uso dos pinos de dados DI Lista de Materiais [8]
a D4 (2 a 5 no conector DB25) é feito
da mesma forma com que fazemos Semicondutores
num microcontrolador. CII a CI4 - MOC3041
Em ambos os casos o pino de GND, TIC I a TIC4 - TIC2260
tanto do circuito microcontrolado
quanto do PC (pino 18 a 25), deve ser Resistores (1/8 watt)
utilizado em cada entrada. Perceba que RI' Rs. R9' RI3 - 330 n (laranja,
estes ficam ligados apenas à entrada, laranja, marrom)
que está "opticamente isolada" da R2• R6' RIO'RI4- 360 n (laranja,
saída (tensão CA). Desta forma, o azul, marrom)
circuito de controle fica protegido R3' R7' RI!' RIS - 330 n (laranja,
laranja, marrom)
e não corre o risco de se danificar
R4' Ru. R12• RI6 - 39 n (laranja,
devido à tensão e corrente CA que
branco, preto)
serão trabalhadas nas saídas da placa.
O circuito é funcional e não apre-
Capacitores
sentou qualquer problema durante
CI a C4 - 0,0 I iJF - poliéster
os testes na bancada do autor. Caso o
(tensão de trabalho - veja texto)
seu circuito não funcione adequada-
mente, você deverá refazer todas as Diversos
verificações separando a montagem 9 - conectores KRE de dois seg-
em etapas. Cheque se não existem mentos para entradas e saídas (veja
erros na confecção da placa de circuito texto)
impresso, inserção dos componentes 4 - radiadores de calor ou um
e nas ligações "externas" com a rede único
CA e cargas, etc. 4 - conjuntos isolantes para encap-
Toda verificação deve ser feita com sulamento TO-220
muita calma, e preferencialmente com
a ajuda de um amigo ou professor. Placa de circuito impresso, solda,
Geralmente, um "terceiro" tem mais fios e tomadas para ligação externa,
chance de encontrar um erro que gabinete (opcional), etc.
passou despercebido.

Neste artigo não será oferecido nenhum código-fonte. pois acreditamos que a placa www.patola.com.br
será utilizada apenas como um complemento de um projeto maior de nossos leitores e patola@patola.com.br
assim. cada um deverá usar sua própria experiência no assunto (microcontroladores e Fone: (11) 6103·2933
PC) para realizar o controle conforme desejado.

-------.i.TU:do~.:;;m~caixa comqualidade
e precisão
•• Projetos

Lógica Programável:
Cierador de Números
Embora conceitualmente simples, este pequeno
projeto foi escolhido para apresentar ao leitor mais
informações sobre a utilização de circuitos de lógica
programável. Confira !

Augusto Einsfeldt

rabalhar com CPLDs e Esta velocidade toda faz com que forma multiplexada, ou seja, compar-

T FPGAs está cada vez mais


acessível, mas é importante
manter a idéia clara de como
os circuitos funcionam dentro destes
componentes. Fazendo uma analo-
o projetista precise lembrar que os
diversos circuitos implementados
possuem atrasos diferentes, que os
registradores (jlip-flops) exigem uma
temporização mínima para seus sinais
tilham os sinais de segmentos e os
dígitos são ligados alternadamente
através do Anodo Comum (neste
caso).
Na figura 1 é mostrado o diagrama
gia, os microcontroladores seguem - como o SETUP-TIMEe HOLD-TIME de blocos do projeto e na figura 2
instruções seqüenciais (as interações - e que os caminhos que os sinais- o esquema eletrônico dos displays
eletrônicas são virtuais, entre uma percorrem também têm um atraso de e chave, empregando um conector
instrução e outra, do ponto de vista propagação. compatível com o kit AEE5205. Os
do programador) onde o tempo de O projeto aqui apresentado vai leitores interessados em montar um
execução das operações importa basi- dar um exemplo de como estas con- circuito dedicado podem obter o
camente na velocidade do sistema. siderações são importantes. esquema do kit AEE5205, disponível
Já os circuitos de lógica programável no web site da Editora Saber, para
operam em paralelo (como num cir- depois combinar os dois diagramas.
cuito eletrônico comum onde todos os o contador O projeto é muito simples. Apro-
componentes funcionam ao mesmo veita-se o oscilador de clock de 50
tempo) e em velocidades muito acima O circuito discutido aqui é um MHz disponível na placa AEE5205
do dia-a-dia dos projetistas. contador modificado que deve gerar e um divisor feito com 9 flip-flops
O tempo que um sinal demora a números de 01 a 60, correspondendo e obtém-se uma base de tempo de
passar por uma porta lógica de um aos possíveis números para apostas aproximadamente 98 kHz. Esta base
FPGA é medido em picossegundos em mega-sena. O circuito consiste de tempo (sinal interno CLKI) é utili-
(530picossegundos, ou 0,00000000053 de um contador, um decodificador zada para a contagem e para aciona-
s, para um sinal atravessar o equiva- para sete segmentos e dois dígitos de mento do display.
lente a 4 portas lógicas em um FPGA display. Um botão controla o contador O contador possui duas partes. A
Spartan-3). Os modelos de CPLDs que incrementa as unidades quando parte das unidades usa 4 flip-flops e
que costumo empregar para elaborar pressionado e as dezenas quando conta de O até 9, retornando a zero
estes artigos - e que estão entre os solto. Isso resulta em valores aleató- depois do nove, quando o botão está
mais baratos que existem - possuem rios e como nos sorteios, cada dígito é pressionado (entrada do sinal RUN
tempo de propagação de lógica em obtido por um contador diferente. em nível zero). A parte das dezenas
torno de 1,7 ns (nanossegundos). Os dois dígitos são acionados de usa 3 flip-flops e conta de O até 5

16 I SABERElETRÔNICA 401 I Junho 2006


os acionamentos. Contudo, isso con-

I-I
I-I
-
,,
r I

Seqüencisdor!
I sumiria mais recursos do CPLD pois
além da contagem do tempo adicio-
nal, é preciso garantir que os segmen-
tos também sejam desligados nestes
Divlsor Decodificsdor intervalos.
-I- por BCD- III~ Para reduzir consideravelmente
512 7 Segmentos
o problema de sombras pode-se ace-
---L- lerar o circuito do display colocando
'"-/ MUX \ capacitares de 2 a 10nF em paralelo
I I com os resistores de base dos transis-
I Condutor duplo I tores (R, e R4) e aumentar um pouco os
, resistores dos segmentos (para 390 ou
470 ohms). Este artifício mostrou-se
muito eficaz na montagem prática.
Fl. Diagrama de Blocos
Um requinte extra do projeto faz
o display das dezenas ficar apagado
(retomando a zero depois do cinco) solto, o seqüenciador iiga um ou quando seu valor é zero, mostrando
enquanto o botão estiver solto, com outro dígito mostrando as unidades apenas as unidades. Este controle
sinal RUN em nível 1. ou as dezenas. Para evitar a sombra está bem visível na parte do código
O seqüenciador que liga o dis- característica da diferença de veloci- VHDL do seqüenciador (ver listagem
play apaga ambos os dígitos quando dade entre o circuito eletrônico do completa no Box 1).
o botão está pressionado, dando display e do CPLD (comum também O decodificador de 7 segmentos
a impressão para o usuário que o nos microcontroladores) o seqüencia- é suprido pelo sinal BlN que é resul-
circuito está "pensando" no número dor poderia deixar os dois dígitos tado da escolha
a,
entre o contador de
a ser mostrado. Quando o botão está apagados durante algum tempo entre unidades e o contador de dezenas.

VCC
P36

P35 Q2
Q1
BC557
CN2- AEE5205 BC557
P24 1 2 P25 P24
3 4 P27 5
P29 P25 O
5 6
7 8 P34 ~6
P36 P26 30
9 10
R7
11 12
P27 330
13 14
15 16 R8
GNO 10 9 87 6 10 9 87 6
17 18 330 AS Cp AS A Cp
VCC A
19 20

-- --
I I
HEAOER 10x2 FI-IB .r~
rn
~ FI-Is ~§
rn

Rg EIG 10 Q(')
CI) EIG 10 Q(')
CI)
10kQ O O O
I- ~
GFEO G F EO
.LSW1 R10 1 2 34 5 1 2 34
330
R12 R11
3300 P29 330
R13
P33 330
R14
P34 330

F2. Esquema dos displays e botão

Junho 2006 I SABER ELETRÔNICA 401 I 17


•• Projetos

BOX 1: Código-fonte
library IEEE; Esta escolha resulta numa opera-
use IEEE.STD_LOGIC 1164.ALL; ção tipo multiplex. Notem que
use IEEE.STD_LOGICARITH.ALL;
use IEEE.STD_LOGICUNSIGNED.ALL;
a saída do de codificado r será
"1111111", ou seja, todos os seg-
entity megas is mentos apagados, caso o valor em
Port ( clk.run : in std_logic; -- entrada 50MHz, botao BIN seja diferente dos números de
dig1, dig2 : inout std.Joqic; -- aciona digitos em zero
Oa 9. Esta observação é importante
segmentos: out std.Ioqic.vectortf downto O»; -- segmentos, liga e zero
end megas; e será abordada mais adiante.
Para evitar que o dígito das
architecture Behavioral of megas is dezenas fique mudando quando o
signal clki,seq : std_logic;
botão está solto (situação em que
signal un,bin : std.Ioqicvector (3 downto O);
signal dz.dzs : std.Ioqíc.vector (2 downto O); este contador fica funcionando),
begin o valor a ser mostrado é armaze-
nado num registrador (sinal DZ)
-- divisor de clock ---------------------------------------------------------
quando o botão está pressionado.
process (clk)
variable d : integer range O to 255; Assim, ao soltar o botão o conta-
begin dor de unidades pára de contar
if rising_edge(clk) then e o sinal DZ vai conter o valor
d:=d+ 1; if d=249 then clki-o-not clkl; d:=O; end if; -- CLKI=98KHz
que havia no contador de dezenas
end if;
end process; quando o botão foi pressionado.
Agora, com os dois dígitos está-
-- contado r d upIo ----------------------------------------------------------- veis o-seqüenciador pode mostrar
process (clki)
seus valores. Ainda enquanto o
begin
if rising_edge(clki) then botão está pressionado, caso as
if run='O' then -- incrementa unidades se botao pressionado dezenas e as unidades sejam zero,
un-e-un-s 1 ; o circuito forçará o sinal DZ para
dz-o-dzs: -- ultima dezena antes de botao ser press.
o valor 6, mostrando assim o
if un="1 001" then
un<="OOOO"; número 60 em vez de 00 (consis-
if dz="OOO" then dz ce" l l O"; end if; -- 00 que deve ser visto como 60 tente com os volantes da mega-
end if; sena).
else -- incrementa dezenas se botao solto
dzs-o-dzs+ 1;
if dzs="1 01" then dzs<="OOO"; end if;
end if; VHDL

I
end if;
end process;
No código-fonte, para enten-
-- sequenciador de acionamento do display ----------------------------------- der a lógica da descrição dos con-
process (clki) tadores, é preciso lembrar um
begin pouco de VHDL. Um "process" é
if run='O' then -- quando botao pressionado apaga ambos os digitos
uma descrição de operações que
dig1 <='1 '; dig2<='1 ';
elsif rising_edge(clki) then
dig1 <='1 '; dig2<='1 ';
seq<=not seq;
if seq='O' then dig1 <='0'; -- liga digito das unidades
"1111001" when "0001 ", --1
else if dz/="OOO" then dig2<='0'; end if; -- liga DEZ se /=0
"0100100" when "0010", --2
end if;
"0110000" when "0011 ". --3
end if;
"0011001" when "0100", --4
end process;
"0010010" when "0101 ". --5
"0000010" when "0110", --6
-- mu Iti p Iex -----------------------------------------------------------------
"1111000" when "0111 ". --7
"0000000" when "1000", --8
bin-o-un when dig1 ='0' else ('O' & dz);
"0010000" when "1001 ", --9
"1111111" when others; --apagado
-- decodificador de 7 segmentos ----------------------------------------------
with bin select -- liga segmentos de acordo com valor em BIN
end Behavioral;
segmentos <= -- ordem dos segmentos: GFEDCBA
"1000000" when "0000", --O

18 I SABERElETRÔNICA 401 I Junho 2006


12 Mil COMPRADORES DO
BRASil EDO EXTERIOR

Organização e Promoção
www.liiee.com.br
Escrilória de vendas em IR Apoio InstiIucional Cia. Aérea
Oficial

• D"
ra- @
VARIG

l11J6283-5011 ~ <"'"

ALCANTARA www.alcamara.com.br eventos ~;"'<IICIID r2Ssínaees Alllladaà


Tel.:I3U 3344·9119 CI.J.•.~ ~==r=."..,....-"1t1
MA ( H A D O info®fiiee.com.br Ic-evell.s@Ic-eveIll.s.c ••.• r !:!!!~~
-- - - - - - - - - - - - -22-25 - Õ-E~P;; ~~i~ ~t~ ~~~ ~t~;e~e-p~e~~i~o~~o~a: (~1)6~5~-;8;9~:ra-o~e~ ~a~r~s~n~r~~~;s:b~e ~x;o~ n~ ~e~~- --
Físee agosto VISITAR: Para sua comodidade. faça o cadastramento antecipado através do site www.fiiee.com.br.
2 OO6 É proibida a entrada para menores de 16 anos, mesmo que acompanhados.
Empresa: _
Nome: Cargo: _

Ramo de Atividade: _
Endereço: _

Cep: Cidade: Estado: _


Tel.: Fax: _
e-mail: Home Page: _
•• Projetos

entity exemplo is
Port ( CLK,X,Z,5 : in std.Ioqic:
Y : out stdIoqic):
end exemplo;

architecture Behavioral of exemplo is


signal Q : stdIoq!c:
begin
process (clk)
begin
if rising_edge (clk) then
Y <=Q;
if 5=', , then Y<=Z; end if;
Q<=X;
end if;
end process;
end Behavioral;
F3. Esquema e VHDL

entity exemplo is
Port ( CLK,X,Z,5 : in std_logic;
Y : out std.Ioqic):
end exemplo;

architecture Bêhavioral of exemplo is


signal Q : std_logic;
begin
process (clk)
begin
podem ocorrer numa certa ordem deduzir (inferir) uma solução.
if rising_edge (clk) therr-
em resposta a um sinal de relógio Note que o teste do sinal S if 5=',' then Y<=Z; end if;
(clock). tem resultado apenas se este Y <=Q;
Dentro de um process os sinais estiver em nível um. Portanto, Q <= X;
end if;
podem ser modificados em várias a lógica descrita diz o seguinte:
end process;
condições, mas apenas a última delas "Y deve receber o valor de Q end Behavioral;
é que vai ser aquela que determinará porém, caso S seja igual a um,
o conteúdo do sinal após a ocorrência deverá receber o valor de Z".
F4. Esquema e VHDL modificado
do clock. Finalmente, os valores dos Ou seja, se S for igual a zero
sinais que entram em um process (que a saída Y poderá receber
são testados ou cujos valores são Q. O sinal S comanda um código em VHDL diz o seguinte: "Se S for
utilizados para alguma operação) pequeno multiplex (que pode igual a 1 então Y deve receber o sinal Z
são aqueles antes da ocorrência do ser facilmente reconhecido porém, depois disso, sempre receberá o
clock. no esquema) selecionando os sinal Q". Esta declaração cancela o resultado
Como exemplo para melhor enten- sinais Q e Z. do teste do sinal S e torna a existência de
der o que é um process, a figura 3 Como explicado anterior- Z desnecessária.
ilustra o esquema equivalente a um mente, dentro de um process a Nossa última observação sobre o funcio-
trecho de VHDL. última modificação válida de namento de um process está relacionada com
O VHDL diz que somente quando um sinal é que determinará seu o sinais X e Q e vale para ambos os casos
ocorrer a borda de subida de CLK valor. descritos acima. O sinal Q vai conter o valor
(rising edge), o sinal X será passado Agora, se o VHDL for modi- de X quando ocorrer a borda de subida do
para o sinal Q. Nesta mesma condição ficado mudando a ordem da clock e, conforme a descrição em VHDL
a saída Y vai receber o valor de Q descrição, o resultado é o empregada, neste mesmo evento Y poderá
e, caso o sinal S esteja em um, vai esquema visto na figura 4. adotar o valor de Q. Primeiramente, ao
receber o sinal Z. Ora, um sinal não Seguindo a regra do VHDL subir o sinal CLK, o valor em X exatamente
pode receber o conteúdo de dois a ferramenta de compilação anterior a este evento (limite de tempo de
outros sinais ao mesmo tempo ou o (também chamada de síntese) acordo com o parâmetro SETUP TIME) será
que teremos será um curto-circuito. eliminou os sinais S e Z porque armazenado em Q.
Portanto, a ferramenta de software não restaram dúvidas sobre o Esta operação de armazenagem ou regis-
que analisa o código VHDL precisa que fazer com o sinal Y. Este tro demora um certo tempo, chamado

20 I SABER ELETRÔNICA 401 I Junho 2006


REGISTER CLOCK TO OUTPUT. Por causa deste modo de funciona- clock o teste tem resultado positivo.
Depois deste tempo - aproximada- mento do process é que os contadores Isso vai fazer o sinal DZS assumir o
mente 1 nanossegundo para o CPLD deste projeto foram construí dos como valor zero ("000") logo após a borda
XC9536XL- o sinal X foi copiado para mostra o código-fonte. As seguintes do clock. Embora a primeira linha
o sinal Q. Ainda mais, lembre-se: o linhas, do contador das dezenas, são tenha estabelecido que DZS deveria
clock (CLK) está atuando ao mesmo um bom exemplo: ser "110" após o clock, a segunda
tempo no segundo registrador que linha teve o teste válido e faz DZS
vai armazenar o sinal Q em Y. Como dzs-cedzs+ 1; ser "000" após o clock. Como foi dito
if dzs="] 01" then dzs<="OOO"; end if;
a propagação dos sinais não é instan- antes, a última ocorrência válida de
tânea, a saída Y vai registrar o valor atribuição a um sinal é que vale.
Estando estas linhas dentro de um
que o sinal Q tinha antes da borda Estas duas linhas fazem o conta-
de subida de CLK. Quase ao mesmo
process controlado pela borda de um dor incrementar de zero até cinco e
sinal de clock, suponha que o sinal
tempo que Y assume o valor "ante- depois voltar a zero.
DZS tenha o valor "101" (ou 5 em
rior" de Q, este sinal Q estará assu-
decimal) imediatamente antes do
mindo o valor de X.
evento de clock. Agora, a primeira
Esta noção de tempo é muito Afinal, qual era o assunto?
linha diz que DZS vai ser incremen-
importante para entender como um
tado. Então o DZS à esquerda na
process controlado por um clock fun- Toda esta explicação sobre a seqü-
função vai ter o valor "110" logo
ciona. Portanto, na descrição VHDL, ência temporal dos sinais em circuitos
depois do evento de clock, enquanto
as funções empregando sinais sempre lógicos foi importante base para o
o DZS à direita na função está sendo
consideram que os sinais lidos ou assunto deste artigo ..A demora na
lido (para fazer a soma com 1) ainda
testados estão com os valores de antes propagação de sinais dentro de um
com o valor "101".
do evento de clock e os sinais de CPLD ou FPGA é um dos aspectos
Na segunda linha o sinal DZS está
escritos serão atualizados somente mais importantes num projeto com
sendo testado. Como ele tem valor
algum tempo depois do evento de lógica programável.
clock. "101" no momento da ocorrência do

~~

Nas Bancas
www.eletronicatotal.com.br

Junho 2006 I SABERELETRÔNICA 401 I 21


_ Projetos

Para mostrar isso de forma ine- quadas que impõem limites (cons-
BOX 2: Arquivo UCF
quívoca implementei o projeto dire- iraints, em inglês) ou regras o
tamente no ISEWebPack, atribuindo o resultado, como neste caso, pode
número dos pinos do CPLD aos sinais NET "digl" LOC = "p35"; ser o espalhamento dos estágios
NET "dig2" LOC = "p36";
de entrada e saída de acordo com o do contador.
NET "clk" LOC = "p5";
diagrama esquemático da figura 2. NET "run" LOC = "p37"; Para melhor entender o que isto
CPLD programado, inicia-se uma NET "seqrnentos cü>" LOC = "p27"; significa veja as figuras 5 e 6. Na
série de testes simplesmente aper- NET "segmentos<l »" LOC = "p26"; figura 5 é mostrado o diagrama
NET "segmentos<2>" LOC = "p25";
tando e soltando o botão. Os valores equivalente a um contador binário
NET "segmentos<3>" LOC = "p34";
mostrados no display correspondem NET "seqrnentos-c-l>" LOC = "p33"; síncrono de 3 bits (para simplificar
à expectativa (parecem bastante alea- NET "segmentos<5>" LOC = "p29"; o exemplo). A figura 6 apresenta
tórios) até que depois de uma certa NET "seqmentos-cô>" LOC = "p28"; um diagrama simplificado dos bar-
quantidade de acionamentos do botão ramentos de interconexão internos
NET "clk" TNM_NET = "clk";
surge o "espectro" do projeto: o dígito TIMESPEC "TS_clk" = PERIOD "clk" 50 em um CPLD de 36 macrocélulas.
das dezenas mostra um valor, mas o MHz HIGH 50 % INPUT ..JITTER 3 ns; A interpretação destes dois diagra-
dígito das unidades está apagado! mas é a seguinte: se o contador da
NET "un<O>" LOC = "FB1_8";
Uma olhada no osciloscópio e con- figura 5 tiver as conexões (e duração
NET "un-c l »" LOC = "FB1_9";
firmo que ambos os dígitos continuam NET "un-câ>" LOC = "FB1_l O"; de evento) mostradas pelos traços
sendo acionados como planejado. NET "un<3>" LOC = "FB1_ll ": vermelhos com duração mais longa
Observando o código em VHDL está NET "dzs-cüe-" LOC = "FB1_12"; que a propagação do sinal indicada
NET "dzs-cl >" LOC = "FB1_l 3";
claro que um dígito só vai ficar des- pelo traço em azul, a contagem poderá
NET "dzs-cê>" LOC = "FB1_14";
ligado (em branco) se o valor a ser falhar. Por exemplo, se Q2' Qj e o,
mostrado for superior a 9 (valores tiverem o valor "010" quando chegar
binários de 1010até 1111).Além disso, a próxima borda de CLK a saída
só o contador das unidades pode CPLD está funcionando perfeita- Qo vai para 1, normalmente, mas
passar de 9 pois tem 4 bits. mente. se a borda de CLK demorar muito
Mas, a descrição do contador das O que aconteceu foi a distribuição para chegar no flip-flop de Q2 o dado
unidades está clara: quando chegar dos estágios dos contadores ter sido presente em sua entrada pode já estar
em 9 deve voltar a zero! feita de uma forma que causou atrasos atualizado com Qo=l, fazendo Q2 ir
O que ocorreu? grandes na propagação de sinais entre para 1 também.
De algum modo o contador não eles. A ferramenta de compilação' Foi algo assim que ocorreu na
segue a seqüência corretamente, segue um roteiro para fazer esta dis- minha primeira implementação e ao
pulando para um valor acima de 9 tribuição e a solução padrão (default) verificar os relatórios gerados pelo
devido a alguma falha. Não é uma pode não ser suficiente para resolver ISE WebPack pude perceber que o
falha na descrição em VHDL e o alguns casos. Sem as instruções ade- flip-flop de UN(O), que é o contador
das unidades no projeto, foi alojado
no primeiro Function Block do CPLD,
FD
D a 02
- 54
Function
x
c .<::
'lU Block 1
::E
s: Macrocells
FD .s
~ 1 to 18
D a ~
01
=
XOR2
c
I-
O
w
z
z
54
! Function
O Block2
FD O
üi Macrocells
D a ao tU
u,
~ 1 to 18
CLK -
c t t
F5. Contador síncrono

22 I SABER ELETRÔNICA 401 I Junho 2006


enquanto os outros bits foram para o aumentar esta "antecipação" até um a listagem deste arquivo, onde as
segundo Function Block. ponto em que, eventualmente, algum últimas linhas correspondem aos
Como pode ser visto na figura estágio do contador vai errar. comandos para forçar os contadores a
6, os Function Blocks agrupam 18 Felizmente os CPLDs e suas fer- serem construídos no Function Block
macrocélulas cada que podem ser ramentas são fantásticos e flexíveis, 1 e em flip-flops adjacentes. Note a
conectadas umas às outras com linhas bastando saber onde fazer os ajustes inclusão do limite de tempo para o
de alta velocidade. Contudo, a passa- certos para obter os resultados espe- sinal de clock de entrada. Isso faz a
gem de sinais de um bloco para o rados. Devo dizer que, para os leitores ferramenta ordenar corretamente a
outro é um pouco mais lenta. Apesar lustradores de bits e exigentes a nível construção do divisor.
da matriz de conexão ser rápida dos picossegundos, estas ferramentas Depois de recompilado com a
os sinais podem demorar até 4,2 permitem sintonizar um projeto até inclusão destes comandos, o resul-
nanossegundos para ir de um bloco o ponto de determinar como cada tado foi perfeito: contagens válidas e
ao outro. O transporte do sinal de função lógica será distribuída pelo funcionamento correto.
clock (após o divisor) demora mais chip.
2,7 nanossegundos enquanto o dado Como este projeto é simples e sem
na entrada, que decide a lógica do grandes exigências, basta informar Conclusão
contador, precisa de apenas 1,7 nanos- à ferramenta que desejamos todos O projeto aqui descrito resultou
segundos. Em condições ideais isso os estágios dos contadores obrigato- no uso de 29 macrocélulas das 36
significa que o novo dado, vindo riamente dentro de um dos Function disponíveis. Assim, fica para o leitor
do estágio UN(O), pode chegar 1 Blocks. Isso é feito inserindo algu- um pequeno exercício: utilizar no
nanossegundo antes do clock dos mas linhas no arquivo UCF. Este máximo as 7 macrocélulas restantes
estágios seguintes. arquivo UCF (User Constraints File) é para flexibilizar os contadores e per-
Nas condições da vida real a varia- normalmente criado quando deseja-se mitir selecionar o limite de contagem,
ção local de temperatura, tensão atribuir em quais pinos do CPLD cada empregando dois bits de entrada
de alimentação e caminho de sinais sinal de entrada e saída do projeto para selecionar entre Mega-sena,
dentro do Function Block podem será conectado. No Box 2 aparece Lotomania, Quina e Lotofácil... E

STM icroelectron ics


Cl
LU
a::
LU

S
SOLUÇÕES~INTELIGENTES o

ARM~
Micr.ocontroladores ARM7. Características da Família STR71X:

MaiS performance a eu alcance. Core: ARM7TDMI RISC 32-bitCPU.

Memória Flash: 64k128k1256k .

Memória RAM: 16/32164k.

Encapsulamento: TQFP64 e TQFP144 .

Interface para memória externa (EMI).


Placa de desenvolvimento.
Conversor AIO de 12 Bit.
Compilador C (GCC) e IDE.
sem limite de tamanho de código. 4 modos de gerenclamento de consumo.
In Circuit debugger (Flash, RAM).
Interfaces: CAN, USB, HDLC, Smartcard.
Programador JTAG (Flash/RAM).
•• Inglês na Eletrônica

When is A Minimum
A Maximum?
"Quando um Mínimo é Um Máximo?"
Com esse título interessante, a Allegro Microsystems em seu "A Complete Guide to Data
Sheets" comenta o modo como as especificações de componentes nos data sheets podem
ser enganosas.
Nas últimas seções de "Inglês para a Eletrônica" temos abordado o problema de se entender
as especificações desses documentos não só levando em conta o inglês apresentado, mas a
própria terminologia empregada em Eletrônica que, em certos momentos, por ser dúbia, pode
esconder coisas que os fabricantes não desejam revelar, ou ainda ajuda a proteqé-los contra o
mau uso do componente. Nesta edição continuaremos a abordar o assunto.
______________________________________________________________________________ NewtonC.Braga

5 egundo a documentação da
Allegro, o conteúdo dos data
sheets pode ser confuso.
Por exemplo, uma confusão que
pode deixar o projetista (que ainda
justification) that the user will try to
design around the higher number".

Vocabulário:
data sheets também são usados pelos
projetistas de sistemas, que precisam
estar certos de que todos as partes de
um sistema operem conjuntamente.
Eles interpretam essa ruptura mínima
Content - conteúdo
tem cabelos) de cabelo em pé é a como o máximo absoluto que deve ser
Format - formato
referente aos máximos e mínimos Confusing - confuso aplicado ao dispositivo. Poucos pro-
indicados, normalmente diante da Point of view - ponto de vista jetistas ficam confusos com isso, espe-
grandeza abordada em um data sheet. Breakdown - ruptura cialmente porque a maioria (nenhum
O texto que damos a seguir, do docu- Exceed - excedem que eu tenha visto) dos fabricantes
mento da Allegro, serve tanto para On the other hand - por outro lado não especifica as rupturas típicas
analisarmos o problema técnico e Together - conjuntamente por medo (com justificação) de que
para aperfeiçoarmos nosso inglês: Fear - receio, medo o usuário tente projetar em torno do
"The content and format of a data Try - tentar número mais alto."
sheet is some times confusing. From Around - em torno Uma outra situação abordada no
the manufacturer's point of view, a Higher - mais alto mesmo texto é a dos tempos como,
breakdown voltage is usually specified por exemplo, o set-up time ou tempo
as a minimum value, indicating that ali Pela tradução, vemos que a reda- de fixação, tempo que um circuito
acceptable devices exceed this minimum ção do texto é bastante clara quanto demora para alcançar o ponto estável
value. On the other hand, data sheets à confusão dos máximos e mínimos de funcionamento. O texto em inglês
are also used by system designers, who nos data sheets: do mesmo documento dado para isso
must be sure that ali parts of the finished "O conteúdo e formato de um data é o seguinte:
system work together. They interpret sheet é algumas vezes confuso. Do "Bui what about - minimum set-up
this minimum breakdown as the absolute ponto de vista do fabricante, uma time or input pulse width is 30 ns min,
maximum that may be applied to the tensão de ruptura é especificada 25 ns typ? This comes from the unfor-
device. Very few designers are confused usualmente como um valor mínimo, tunate mixture of specifying system
by this, especially because most (none indicando que todos os dispositivos requirements with device parameters.
that I've seen) manufacturers do not aceitáveis (em bom estado) excedem This specification must be interpreted as
specify typical breakdowns for fear (with esse valor mínimo. Por outro lado, os "Deoices ioill function with a set-up time

24 I SABERELETRÔNICA 401 I Junho 2006


or input pulse width of at least 30 ns." como "os dispositivos vão fun- ,~-_....
Typical/y, this device wil/ function with a cionar com um tempo de set-up Timer NEISAlSE555/SE555C

set-up time or input pulse width of only ou largura de pulso de entrada de DESCRIPTIOH
The='-'I<'~"""'Io_I'".<l<_i>n_~""'_cIeby
•• " •••bIo"""'_<.p"'''
25 ns, but it's not warranteed so ii's not a pelo menos 30 ns". Tipicamente, dpndu<ingocanle' ••••
modod_oion.,,..,•••Io"'-"''''''''_b7''''_
•••••b"•• ~•• paOl ••.""'~ ••..,••--.31.noocil:k*I< .•.••
""._"IIh_ond' •••••••
.,<)'do •••-.-=«r3oIy
meaningful number. A similar situation esse componente vai funcionar =1r<>hd
m.y•• ,~od_
•• ih_ •••••• ,,.oiH:n_"""~Of.Thocircuio
••••'a.f •••"'I-..,.;_,ho«l1pU
•• _ ••••••• ""'"" ••.••• uplO:1:)O"'"

exists with logic input levels where VIH com um tempo de set-up ou lar-
= 2 V min and VIL = 0.8 V max. As gura de impulso de entrada de BLOCK DIAGRAM

described here, these are requirements apenas 25 ns, mas não é garan- .
rather than parameters", tido, o que significa que não se 0Adj_tIIodU!f<Tdo
- m, __

Mas, que tal - tempo mínimo de


set-up (*) ou largura de impulso de
trata de um número significativo.
Uma situação similar ocorre com ._~
·~

AWUCAnoNS

0P\IH_"",
•• OIIt1III1dO~potC

entrada é 30 ns min, 25 ns tip? Isso os níveis lógicos de entrada onde .T_•.....__ ""
° Soq_btenw.
" __ h_lIt;;"

é resultado da infeliz mistura de se VIH - 2 V min e VIL = 0,8 V


especificar exigências do sistema com max. Conforme descrito aqui,
parâmetros do componente. Essa tratam-se de exigências e não
especificação deve ser interpretada parâmetros."
Comentando o texto, observa- OROERlNG INFQRt.lATlQN
OE$I;RlPTIotI nUPEItATUReRANGIõ

i.f'inP\nllc~ou.""(SOlP..:l<oogt ee co-c SOT~l


mos que, se partirmos do aspecto U"IIol'lnllcllulllln-t.o..Po<b?OIOPj O"'1O"C $OTI1·'

Vocabulário: U'inPbol"SooaIIo.oan.(SOlP~
____ o-IlIo-u..Ptd.ogtjOP)
....•
o'C••·.s'C 50116-1
SOlfl·,
técnico, é preciso tomar muito U'inf' •• ""O"",-.. •.••• PocO._lDPi
What about - que tal UOO'_O"" •.•.•••P ICPI SOTll·'

cuidado com a interpetação do


Width - largura Página do Data Sheet
Unfortunate - desafortunada, infeliz
que seja mínimo e máximo. O que do conhecido CI555.
Interpreted - interpretada pode ser um mínimo não significa que
Function - funcionar devamos adotá-lo em um projeto. typ (typical) devem ser considerados
Meaningful - expressivo Com relação ao inglês, os termos da mesma forma que em português,
min (minimum) e max (maximum) e apenas com as restrições técnicas. E

soluções em sensoreamento

Lançamento
Chave Fim-de-Curso GLL
Variedade de estilos de atuadores;
Contatos: 1 NO e 1 NC (SPDT snap action);

baixo Temperatura
Montagem
de Operação:
conforme EN50047;
-10· a 80·C;

Terminação: Conduíte de 20mm ou Y, NPT;


custo Contatos isolados galvanicamente;
Corpo em plástico com isolação dupla;

Honeywell Selagem
Aprovações:
IP65, NEMA 1, 12, 13;
c-UL-US, CE, CCC.

A diversidade de tipo de sensores, estilos e fabricantes que você precisa lembrar para seus projetos pode ser exaustiva. Mas não espere mais. Porque o
único nome que você precisa lembrar é a líder da indústria e tecnologia: Honeywell Sensing & Control. Procurando por sensores de pressão? Ligue para a
Honeywell. Tentando identificar um sensor de fluxo de ar ou força? Ligue para a Honeywell. Sensores de Umidade? Potenciômetros? Micro Switches?
Chaves fim-de-curso? Eles são parte da vasta linha de produtos da Honeywell. Então relaxe, porque para qualquer tipo de sensor que você procura, uma
ligação para a Honeywell é tudo o que você precisa.
Para mais informações sobre os produtos Honeywell Sensing & Control,
ligue (11) 4166-1912 ou visite www.honeywell.com/sensing.
Sensing.Control.Brasil@honeywell.com.
_ 'Componentes

Teste e identificação de
Diodos de Silício
Embora os diodos de silício sejam componentes comuns que a maioria dos
profissionais sabe como testar, identificar e mesmo usar, nas aplicações industriais
que envolvem potências elevadas podemos ter algumas diferenças. De fato, os
diodos que trabalham com correntes intensas, como os das fontes industriais,
devem ser testados de forma diferente e até suas aparências não são as mesmas
dos diodos comuns empregados nas aplicações eletrônicas de baixas potências.
Veja, neste, artigo como trabalhar com esses diodos.

U
m diodo conduz quando Na prática, para os testes dos Comece o teste s.~mpre com o
polarizado no sentido direto, diodos neste tipo de circuito são amperímetro na escala mais alta,
e não conduz quando pola- usados amperímetros tipo alicate. A passando-o posteriormente para as
rizado no sentido inverso. corrente lida em termos AC deve ser escalas menores onde a leitura possa
Todos sabem disso e usam esse fato multiplicada por 1,37 para se obter a ser feita de forma mais confortável.
para testá-Io quando suspeitam de que amperagem DC Teste um diodo de cada vez. Não
algo vai mal no seu circuito. Como um dos problemas que· é preciso colocar as garras do ampe-
No entanto, os diodos de alta acontece com os diodos é abrir, este rímetro envolvendo o diodo propria-
potência para aplicações industriais, teste revela logo se um deles não está mente dito. As garras podem envolver
devido as suas dimensões, não devem conduzindo a corrente. No caso dos qualquer parte do cabo que conecta o
ser tratados da mesma forma que os diodos em curto, o fato de drenarem diodo, conforme ilustra a figura 2.
diodos comuns de baixas potências uma corrente elevada faz com que o
quando os testamos, ou ainda quando fusível ou outro sistema de proteção
vamos identificar seus terminais. entre em ação cortando a alimenta-
Normalmente, nas aplicações ção.
industriais os diodos são utilizados É importante observar que tanto
em pontes, e um ponto importante diodos em curto como abertos podem
dos testes é saber se todos estão con- danificar o transformador que ali-
duzindo e se a corrente entre eles está menta o circuito.
distribuída de maneira uniforme.
A figura 1 mostra uma aplicação
típica. Testando
Se um fusível em série com o
Para testar os diodos de um sis- diodo ou diodos em teste estiver
tema com ele em funcionamento, queimado, é uma razão para se sus-
Transfor- ajuste-o para que ele opere com 50% peitar que a causa da sua queima
mador
ou menos de sua corrente de saída. seja o próprio diodo. Assim, antes de
trifásico
Não o alimente com a potência total, colocar um fusível novo no suporte
pois isso pode fazer com que mais é preciso testar os diodos individual-
diodos sejam danificados. mente.

26 I SABERElETRÔNICA 401 I Junho 2006


o teste de continuidade do diodo De qualquer forma, como mostra sentido inverso. O uso de um diodo de
pode ser feito com um multímetro a figura, o símbolo gravado no corpo tensão maior pode até ser iJ;lteressante
comum ou mesmo com um "teste de do componente ajuda a saber qual é se suspeitarmos que a queima se deva
continuidade" usando duas pilhas e o seu anodo e qual é o seu catodo. No a problemas de sobretensão.
uma lâmpada de lanterna, observe entanto, se a marca estiver apagada ou
a figura 3. não existir, a identificação poderá ser
feita com o multímetro ou usando-se Conclusão

3V!]
~3V~ :]:E:
o provador de continuidade.
Na figura 5 mostramos como fazer
isso. Setiver dúvidas se o seu multímetro
Os diodos são componentes
importantes nos sistemas industriais.
tem o positivo da bateria interna na Fontes chaveadas, inversores de fre-
Conduz Não ponta vermelha (alguns têm o negativo qüências, controles de potência e
conduz na ponta vermelha), tome como referên- muitos outros equipamentos que
cia um diodo comum como o 1N4004. precisem de retificação usam diodos.
o teste deve mostrar que o diodo Saber como identificá-los, usá-los
conduz em um sentido e não no outro. e testá-los é fundamental para todo
Resultados diferentes indicarão que I profissional.
OHMS
o diodo está aberto (não conduz em X1 O que vimos neste artigo pode
nenhum sentido) ou em curto (conduz ~ X10 parecer óbvio para muitos, principal-
em ambos os sentidos). mente os mais experientes, mas estes
Para os diodos em bom estado devem levar em conta que nos dias
a resistência lida deve estar entre O e atuais em que existe uma mescla de
100 ohms no sentido direto. Para as profissionais formados em Mecânica e
resistências inversas o valor deve outras áreas, que precisam manusear
estar entre 100 k a 500 k ohms, tipica- component~s eletrônicos e não tiveram
mente. A relação entre a resistência Substituindo Diodos formação para isso, os conhecimentos
em condução e não condução deve ser que passamos são muito importantes.
normalmente maior do que 1:100. Não é necessário colocar exata- E
mente o mesmo tipo de diodo em caso
TATO-USB2
de queima, principalmente se houver Adaptador
Identificação dificuldades de obtenção. Pode-se USB-Serial de
alta velocidade
usar um que tenha características (até 3Mbaud) com
Conforme exibe a figura 4, um próximas. Para isso, devem ser leva- buffer de 256 bytes.
mesmo invólucro de um diodo de dos em conta três pontos: USB 2.0 fu" speed.
Driver paraWindows, Linux e MAC
potência pode ser usado com polari-
dades diferentes. a) Tamanho fisico
O tamanho do diodo nos permite
ter uma idéia da capacidade de sua
Polarização direta
corrente, caso ela não seja conhecida.
Evidentemente, deve-se também levar
o em conta o espaço disponível para
t
Malha
sua. instalação.

condutora b) Corrente
~ O diodo substituto deve ter uma
capacidade de corrente igual ou maior
que o original.
Polarização inversa
c) Tensão ou PRV
o motivo é que dependendo do (Tensão Inversa de Pico) Display seria I gráfico, com touch-screen,
sentido de condução da corrente, Deve ser maior ou igual ao tipo 320x240 pontos, cor azul
será conveniente ter o lado da carga original. Lembramos que se trata da
conectado ao dissipador, daí as duas maior tensão que aparece no compo-
r:r.TATO Equipamentos
~JTransformando idéias em realidade
Eletrônicos

formas de se montar o diodo. nente, quando ele está polarizado no Tel(ll) 5506·5335· www.tato.ind.br

Junho 2006 I SABERElETRÔNICA 401 I 27


•• Componentes

LM2426
Driver Triplo para TV Digital

om a chegada da TV digital impedância de entrada. Suas caracte- . cargas capacitivas como são os cato-

C em nosso país aumenta o


interesse por c~mponentes
que possam eqmpar os novos
receptores. A National Semiconductor
(www.national.com) tem uma ampla
rísticas permitem a excitação direta de dos dos TRC2s,mas também admite
cargas resistivas.

Destaques:
• Faixa de tensões.de entrada de
linha de circuitos integrados que Oa5V
atendem a essas necessidades. • Excursão da tensão de saída até
O componente focalizado neste 130 Vpp
artigo é o LM2426, que consiste em • Estável com cargas capacitivas
um driver triplo monolítico para 30 de O a 20 pF
MHz. • Invólucro TO-220
Esse componente, apresentado em
invólucro de potência, mostrado na Na figura 3 temos um circuito
figura 1, tem as especificações para de teste típico, através do qual as
aplicações em HDTV. características desse componente
O diagrama equivalente a cada podem ser comprovadas.
driver é mostrado na figura 2. Os resistores de 4990 ohms formam
GND
Esse CI pode excitar diretamente com o resistor de 50 ohms um divisor
7
os catodos do cinescópio, com um resistivo de 100:1 para aplicação dos
ganho fixo de -53 e uma elevada sinais num osciloscópio. E

VBB +8V + 180 V


">--P""'---V1

>---f-\'!--V2
NC
0UT
:t. 0,1 ~lF

0UT
r--+'--GND Entrada do
gerador de
">--p',---V30UT
sinais 0,47 ~lF
V31N
l...----I--''------ NC
'-----If>"-- V21N
VCC
'------II-'---V1
IN
~'"F VADJ

28 I SA8ER ElETRÔNICA 401 I Junho 2006


Componentes _

o que Mocê precisa saber sobre


capacitores
eletrolíticos?
Entre todos os componentes eletrônicos, os capacitores eletrolíticos pouco
evoluíram em relação ao princípio básico de funcionamento. Apesar de melhorias
no que se refere às características mais críticas, eles ainda operam segundo os
mesmos princípios eletroquímicos originais, o que os torna particularmente
sensíveis em qualquer projeto. Veja, neste artigo, algumas das principais
características dos capacitores eletrolíticos para que elas possam ser levadas
em conta nos projetos mais críticos.
________________________________________________________________
~-----NewtonC.Braga

apacitores são componentes p= 1/2• C. v2 além de outros cujas características

C que armazenam cargas elétri-


cas. Formados por dois condu-
tores entre os quais é colocado
um dielétrico, eles são especificados
em farads (F) e seus submúltiplos
Onde:
p é a energia armazenada
joules
C é a capacitância em farads
em
permitam.
"
Sobre esses eletrodos de metal,
durante um processo de oxidação
anódica, forma-se uma finíssima
camada de óxido do metal usado, a
(microfarad, nanofarad e picofarad). V é a tensão em volts qual consiste em um dielétrico.
A figura 1 mostra a estrutura básica Atualmente, os capacitares mais
de um capacitor. utilizados são os que fazem uso
OS CAPACITORES do alumínio e do tântalo. Conside-
ELETROLíTICOS rando-se que a constante dielétrica
do óxido de tântalo é maior do que a
Armadura(+)
~---jl--~;?L __ Dielétrico Os capacitores eletrolíticos se dife- do óxido de alumínio, os capacitores
renciam dos demais pela' espessura de tântalo, para uma mesma capa-
extremamente pequena do seu dielé- citância podem ser bem menores,
trico, conforme ilustra a figura 2. observe a figura 3.
Nos tipos comuns são os usados os Como o óxido necessita de um
A quantidade de cargas que um mesmos materiais para os eletrodos I processo que envolve uma polariza-
capacitar pode armazenar é dada pela e 11 . Esse material pode ser o alumí- ção, os capacitares eletrolíticos são
conhecida fórmula: nio '(AI), tântalo (Ta), nióbio (Nb), componentes polarizados.
titânio (Ti), zircônio Zr), háfnio (Hf), Na figura 4 temos a estrutura
Q=C.V bastante aumentada de um capacitor
de modo que o leitar perceba que a
Onde:
r--- Eletrodo I
Q é a quantidade de cargas (cou-
(anodo)
lombs)
Dielétrico (óxido) 6V
C é a capacitância (farads)
V é a tensão entre as armaduras ~===~==---- Eletrodo 11 470 IlF

(V)
A quantidade de energia armaze-
nada, por outro lado é dada por:
'----.-----'''''=L-Catodo
(eletrólito)

(eletrodo 11aparente) R
Tântalo

Junho 2006 I SABER ELETRÔNICA 401 I 29


~ Componentes

_=====-==----- Ventilação
de segurança
Icatodo~ Papel
I .. Fontede eletrolítico----d+h.. JLlW-I----Folha de alumínio
Anodo Eletrólito corrente

Enrrolamento
de papel----I+h. Tm!oi+I---Folha de catodo

Invólucro
de alumínio ------I.,.. ---.l._-
FolhaJf/~~:sI1 Folha
de de
alumínio Luvaexterna-----I----'Ià'r---- Selante
alumínio
~o;s:.,L-----de borracha

camada de dielétrico não é perfeita- Terminalde anodo-----+e eI------Terminal de catado


mente regular.
Um capacitor real não representa
apenas um capacitância para um cir-
cuito externo. Na verdade, ele possui Especificações
elementos parasitas que se devem à A partir dessas informações ini-
resistência dos terminais, indutância ciais, podemos passar às especifica- ESR
do próprio material condutor que ções dos capacitores eletrolíticos e o
é enrolado, etc. Assim, o circuito que elas significam. 1 -+
li
Xc=--
equivalente a um capacitor eletrolítico 21tfC
é o apresentado na figura 5. a)Capacitância nominal z
É a capacitância indicada no com-
ponente, ou seja, seu valor em farads
C R
(submúltiplos).
da ESR para se obter as perdas na sua
~c~ b)Corrente de Fuga resistência ôhmica,
Quando uma tensão DC é aplicada
Rfuga ao capacitor. ESR = tg õ / 2. rt . f . C
Onde:
c)Tangente do Ângulo de Perda e f é a freqüência em Hz
A indutância em série é especial- Fator de Dissipação C é a capacitância em farads
mente importante quando usamos Como um capacitor real não repre-
os capacitores em circuitos de altas senta uma capacitâricia pura, mas d)Faixa de Temperaturas de Ope-
freqüências. Por exemplo, essa indu- possui uma resistência equivalente ração
tância impede que esses componentes em série (ESR), operando em cor- Trata-se de faixa de temperaturas
sejam empregados de forma eficiente rente alternada temos uma defasa- ambiente para o qual o capacitor
no desacoplamento de sinais de altas gem corrente-tensão, conforme o foi projetado para operar de modo
freqüências. gráfico exibido na figura 7, a qual é contínuo.
responsável por uma dissipação de
Construção potência. e)Tensão de Trabalho
A figura 6 mostra uma vista em A tangente do ângulo de perda (tg Trata-se da tensão DC máxima
corte de um capacitor eletrolítico d) é dada pela relação entre a ESR e para a qual o capacitor foi projetado.
típico, para que o leitor tenha uma a reatância capacitiva, Xc conforme a Essa tensão é dada pela soma da
idéia de como esse componente é seguinte fórmula: tensão DC com a tensão AC de pico
fabricado. aplicada ao capacitor.
Nessa figura temos a construção tg õ = ESR/Xc
típica de um capacitor com terminais f)Tolerância
paralelos. o fator de dissipação, por outro Da mesma forma que em outros
lado, pode ser calculado em função componentes, existe uma tolerância

30 I SABER
ElETRÔNICA
401 I Junho 2006
_4 6,3 10 16 25
~-5---8--'----13-'-------'------"--_---=-:=-----"""--

Tensão Nominal (V)


20 32
35
44
40
50
L = indutância (H)
C = capacitância (F)

53 63 80 100 160 180 200 A ESRé normalmente especificada


,Tensão de Surto 60 79 100 125 200 225 225 pelo fabricante do capacitar conside-
Tensão Nominal (V) 250 315 350 400 450 rado.
Tensão de Surto 300 365 400 450 500
j)Vida útil e Taxa de Falhas
de valores que é a diferença máxima i)Impedância A especificação da vida útil de
que pode haver entre o valor especi- Aimpedância de um capacitar ele- um capacitar também é importante
ficado e o valor encontrado de capa- trolítico depende de diversos fatores para a utilização de um capacitar
citância. como a ESR e demais características eletrolítico.
do próprio componentes e circuito A temperatura e a corrente de
g)Tensão de Surto de operação. ripple são dois dos principais fatores
Essa é uma aplicação importante Essa impedância pode ser calcu- que influem na vida útil de um capa-
quando o capacitar é usado em con- lada pela fórmula: citor eletrolítico. Para a temperatura
troles de potência e outros circui- é comum aplicar a regra do "reduz à
tos que estejam sujeitos a surtos de Onde: metade a cada 10C".
tensão. Assim, para cada 10C de aumento
Trata-se da tensão máxima DC Xc = 1/(2 . P . f . C) da temperatura, a vida útil do capa-
que o capacitar pode ficar sujeito em XL=2.TC.f.L citor se reduz à metade isso em
temperaturas normais diretante 30 relação ao valor especificado pelo
segundos em intervalos não menores f = freqüência (Hz) fabricante.
do que 5 mim~tos. Normalmente ela
se relaciona com a tensão nominal,
conforme as tabelas acima

h)Corrente de Ripple Forma de onda ValorRMS


Uma tensão alternada ou pul-
sante superposta a uma tensão DC
aplicada a um capacitar resulta numa A
corrente alternada circulando pelo
capacitor.
Levando-se em conta as perdas
pela resistência ôhmica e no dielétrico,
essa corrente provoca um aumento
da temperatura do capacitor. A quan- A 3t1 + 2t2
tidade de calor gerado nesse processo 3T
depende da forma de onda da cor-
rente e de sua freqüência.
Os capacitores eletrolíticos devem
ter a corrente máxima de ripple (Ir)
especificada, o que ocorre normal-
A 2t1 + 3t2
mente em função da temperatura
3T
ambiente.
Fórmulas permitem calcular a
elevação da temperatura com essa
corrente, devendo ser usadas nos
projetos mais críticos, principalmente
A/.
os que envolvem capacitares não
sólidos. J3"T
A figura 8 ilustra o modo de se
calcular a corrente RMS de ripple em
função de diversas formas de onda.

Junho 2006 I SABER ElETRÔNICA 401 I 31


•• Componentes

Essa regra, entretanto não é válida


se o capacitor trabalhar com correntes
I ZC=VESR2+ (Xl + Lei
de ripple intensas.
Fábrica Fórmula I A taxa de falhas CÀ)é definida
+-'
: Consumidor como o número de componentes
:----+
Taxa de
,, que falham por unidade de tempo
,, tomando-se o total de componentes
falhas
(I..) :. Vida útil de um certo lote observado.
Esse número é normalmente
expresso pelo chamado "fit" (jailures in
(a) (b) (c) time) sendo igual a 106 /horas dentro
de um nível de confiabilidade CUCL)
de 60%. Esses valores são obtidos em
testes de laboratório e também em
observações de campo.
C Uma curva interessante, denomi-
+
--- nada nos meios técnicos de "banheira"
pelo seu formato, é a apresentada na
figura 9.
Essa curva nos mostra em (a) a
-+Freqüência
existência de um período inicial de

.>
Tano
Corrente
Q falhas que ocorre ainda durante o
de fuga
+ + processo de fabricação. Trata-se do que
se denomina "mortalidade infantil"
~
dos capacitores eletrolíticos.
-+Freqüência -+ Temperatura Depois em (b) temos a vida útil
Corrente propriamente dita do capacitor, em
de fuga que temos um número menor de

-+Freqüência
Z +
L -+Tempo
falhas, segundo uma média que é
denominada porcentagem de falha.
Finalmente em (c) quando vence
a vida útil, temos o aumento gradual

.>
Corrente ou deterioração do componente.
C/Co de fuga
\ \ESR
Z/ZO
ESR/
\\
,,":
,
C
+ Características x
ESRo
--~Z
diversos fatores
+ I

,
, ____

Conforme pudemos ver neste


....

<.
250C Temperatura -+ Voltagem artigo, as características dos capacito-
Capacidade Taxa
res eletrolíticos variam sob influência
de corrente
de ripple

+
de falhas
+ ..> de diversos fatores externos.
O projetista que usa esses compo-
nentes deve estar atento para esse
fato, principalmente se o produto final
...• Temperatura -+ Temperatura
for trabalhar sob condições ambientes
Taxa que variam numa ampla faixa de
C C de falhas valores, ou ainda em circuitos que
Tano
ESR
+ estejam sujeitos a variações muito
Z Tano/ESR/Z grandes.
+ ~ Na figura 10 damos diversos grá-
...• Tempo -+ % Voltagem nominal ficos que ilustram como as diversas
características de um capacitor eletro-
lítico variam com o tempo, freqüência,
temperatura e tensão aplicada. E

32 I SABER ElETRÔNICA 401 I Junho 2006


~IAR
SYSTEMS
Ferramentas de desenvolvimento
para sistemas embarcados:
- ambientes integrados de desenvolvimento (IOE)
com compiladores C/C++ e debugadores
- kits de desenvolvimento
- lTags e lTrace
- projeto através de máquina de estados,
com geração do código C

Desenvolva projetos
mais rapidamente com as
ferramentas lAR

Kits de desenvolvimento da,IAR: Disponível


para muitos microcontroladores populares.
A família de KSDK de kits de desenvolvimento
é a mistura balanceada de ferramentas
de desenvolvimento, debugue e
prototipagem para atender
os mais apertados cronogramas.

Ferramentas de desenvolvimento:
• lAR KickStart Kit - Kits de desenvolvimento para ARM e MSP430
• lAR Embedded Workbench - compilador C/C++ e debugador
• visualSTATE - ferramenta de desenvolvimento usando máquina de estados
• lAR J-Trace e lAR J-Link - Pontas debugadoras HW para ARM

As várias versões do Embedded Workbench suportam microcontroladores como:


Atmel AVR, Microchip PIC/PIC18, TI MSP430, Freescale 6812, Philips LCP900 e outros.
Nossa EW ARM suporta todos os microcontroladores com: Core ARM7 e ARM9, de qualquer fabricante.

Conheça nossa linha completa de ferramentas para 35 famílias


de microcontroladores e OSPs de vários fabricantes
Acesse: www.iar.com/ew

lAR Systems Software - 106SE. Hillsdale Blvd. Foster City, CA94404 USA
Escritório no Brasil: Rua Açaí, 492, Cep 13.092-587, Campinas, São Paulo
Tel/Fax: (19) 3258-1118, e-mail: info@iar.com
•• Circuitos Práticos

Filtros
Passa-Banda
ou Passa-Faixa
Os filtros passa-faixa ou passa-banda (band-pass) são utilizados em diversos tipos de aplicações
que envolvem a seleção de sinais de determinadas freqüências. Eles podem ser usados em telecom,
eletrônica médica, aplicações de consumo e industriais. Conforme já exploramos nos dois artigos
anteriores dessa série, em que tratamos dos filtros passa-baixas e passa-altas, uma boa forma de se
implementar filtros ativos é com a utilização de amplificadores operacionais.
Neste artigo apresentamos ao leitor 10 circuitos de filtros passa-faixas selecionados á partir de
documentação dos próprios fabricantes dos amplificadores operacionais.

Newton C. Braga

o s filtros passa-faixa ou passa-


banda, conforme mostra a
figura 1, deixam passar os
sinais que estão dentro de
uma determinada faixa de freqüên-
cias, rejeitando os demais.
As aplicações para tais filtros
são as mais diversas, indo desde o
reconhecimento de um sinal de uma'
única freqüência em um sistema de
controle remoto, até a seleção de
uma faixa completa de' sinais, por
R2
470pF

220 k.Q

exemplo num sistema de telefonia ou


de telecomunicações.
Os filtros dados a seguir usam
Sintonizado
amplificadores operacionaís comuns,
podendo ser modificados à vontade
conforme a aplicação.
---------I ••

para uma operação em outra faixa.

L ____________ ~h~
1. Filtro de 500 Hz a 1500 Hz A fonte de alimentação deve ser
simétrica e outros amplificadores
A principal qualidade de um filtro O primeiro circuito que apresen- operacionais podem ser usados em
desse tipo é a sua seletividade, dada tamos, ilustrado na figura 2, utiliza configuração semelhante.
pelo fator de qualidade ou fator Q. um amplificador operacional básico Lembramos apenas que esse tipo
Um fator Q elevado significa que o e tem uma faixa passante de 1 000 de circuito, quando usando amplifica-
filtro é capaz de rejeitar sinais numa Hz, com freqüência centralizada em dores operacionais comuns, se destina
faixa bastante estreita. 1kHz. a aplicações que envolvam sinais de
Esses filtros, quando operam A freqüência central é determi- baixas freqüências, no máximo de
numa faixa muito estreita de freqü- nada pelos capacitores e pelos resis- algumas centenas de quilohertz.
ências, também podem ser denomi- tores ~fRz que podem ser alterados, Também é importante ressaltar
nados filtros sintonizados. mantendo-se a proporção de valores que nas aplicações que tratem de

34 I SABERELETRÔNICA 401 I Junho 2006


16 nF
10 k.Q
Saída

sinais de áudio, o máximo cuidado


deve ser tomado com blindagens nos
10 nF
--

cadores operacionais equivalentes


podem ser usados. Lembramos que
.•
cabos e entrada (alta impedância), o TLC271 é um operacional da Texas
para que não ocorra a captação de Instruments com transistor de efeito
zumbidos. de campo na entrada. É a Texas que
Na aplicações de altas freqüências indica esse circuito em seu manual
devem ser previstos capacitores de de aplicações lineares.
desacoplamento (normalmente cerâ- A fonte de alimentação deve ser
micos de 100 nF) junto aos pinos de simétrica e trabalhando com sinais de
alimentação do circuito integrado.

2. Filtro de 12 dB Por Oitava


••
Amplificadores operacionais equi-
valentes podem ser utilizados e a
I áudio é preciso tomar cuidado com
a- blindagem das entradas e saídas
dos sinais.

fonte de alimentação deve ser simé-


Na figura 3 temos um filtro passa- trica. 5. FUtro de Segunda
faixas de 500 Hz a 1 kHz com dois Alterações nos capacitores e resis- Ordem, da National
amplificadores operacionais. tores permitem a operação em outras
Esse filtro de segunda ordem tem faixas de freqüências. As proporções A National Semiconductor é que
sua freqüência central de 1 kHz deter- entre os componentes alterados sugere o circuito mostrado na figura
minada pelos capacitores e resistores. devem ser mantidas. 6, com os elementos a serem calcu-
Esses componentes podem ser altera- lados pela fórmula dada junto ao
dos para permitir a operação do filtro diagrama.
em outras faixas de freqüências. 4. Filtro de 1 kHz Com dois amplificadores opera-
A fonte de alimentação deve ser cionais LM102, o circuito tem uma
simétrica e praticamente qualquer O filtro apresentado na figura excelente seletividade na faixa sinto-
amplificador operacional pode ser 5 tem a mesma configuração do nizada, podendo operar como um
usado na mesma configuração, obser- anterior e também é centralizado filtro sintonizado para baixas freqü-
vando-se os cuidados e restrições na mesma freqüência. No entanto, ências.
indicados no circuito anterior. os valores diferentes dos compo- As fontes de alimentação para
nentes lhe dotam de um fator Q os dois amplificadores operacionais
menor que 5 e uma banda passante devem ser simétricas. Amplificadores
3. Filtro de 1 kHz com Q=5 diferente. equivalentes podem ser empregados,
Da mesma forma, sua impedância utilizando-se tensões de alimentação
o fator de qualidade do filtro de de entrada é mais alta e amplifi- conforme as exigidas para cada caso.
1 kHz da figura 4 é 5. Isso dá uma
faixa passante de aproximadamente
1 kHz em tomo da freqüência central.
O circuito é sugerido pela Texas
Instruments em seu manual de apli- Saída
cações lineares, fazendo uso de um
amplificador operacional com tran-
f= 1
sistor de efeito de campo na entrada,
o que o dota de uma elevada impe-
dância de entrada.
l 2nVR1.R2.C1.C2'

••
Junho 2006 I SABERELETRÔNICA401 I 35
•• Circuitos Práticos

Saída

V1o.---J '-~--II---<~-I
C2
100 nF

f = 1
Entrada
21tV R1.R2.C1.C2

Q= 10
Q f=30kHz
R3 = 7tfoC R1=~ Ho=l
HO.2
R2 R1.R3
4Q2.Rl-R3

Saída freqüências devem ser utilizados


amplificadores operacionais com
características próprias.

9. Filtro de 1 kHz
com Dois Operacionais
6. Filtro Sintonizado, potenciômetro na entrada.
da National A fonte de alimentação deve ser O circuito exibido na figura 10
simétrica e o fator de qualidade ou utiliza dois amplificadores operacio-
o filtro que observamos na figura seletividade é 10. nais de transcondutância, tendo sido
7 é semelhante ao anterior na confi- Observe que esse circuito tem um sugerido pela National Semiconductor
guração básica, mas como usa apenas resistor de compensação externo. em seu manual de aplicações lineares.
um amplificador operacional é menos Amplificador equivalentes podem A freqüência central do circuito
seletivo. ser utilizados, com alimentação de de boa seletividade está em tomo de
A freqüência central (ou de sinto- acordo com as características desse' 1 kHz, dependendo da tolerância dos
nia) é determinada pelos valores dos componente. componentes empregados.
componentes utilizados, conforme Observe a necessidade de polari-
fórmula junto ao diagrama. zação com tensão Vs nas entradas
Lembramos que esse tipo de cir- 8. Filtro de 30 kHz não inversoras. Essa tensão pode
cuito tem uma freqüência máxima ser obtida de um divisor resistivo
limitada pelas características do opera- N a figura 9 temos um filtro de formado por dois resistores de
cional, ficando normalmente em tomo feedback (realimentação) múltipla 100 kohms ligados em série.
de algumas centenas de quilohertz. para 30 kHz, sugerido pela Motorola, A fonte de alimentação deve ser
A fonte de alimentação é simétrica que é o fabricante do amplificador simétrica, e amplificadores operacio-
e circuitos equivalentes podem ser operacional utilizado. nais comuns não devem ser utilizados
utilizados. Esse circuito é sugerido Junto ao di.agrama temos as fór- nesta configuração.
pela National Semiconductor em seu mulas que permitem calcular os com-
manual de aplicações lineares. ponentes para as características dese- 10. Filtro Com Dois
jadas para o circuito. Operacionais Motorola
Observe a necessidade de se ligar
7. Filtro de 1 kHz com Q = 10 a entrada não inversora num divisor O circuito mostrado na figura 11
de referência, que forneça uma tensão também está sintonizado para uma fre-
o circuito apresentado na figura que seja 40% da tensão usada na qüência de 1 kHz, mas tem uma confi-
8, que tem a configuração já conhe- alimentação. guração um pouco diferente da ante-
cida explorada nesta seleção com Lembramos ainda que esse cir- rior pelos valores dos componentes. Os
um amplificador operacional, tem cuito não se destina a aplicações amplificadores operacionais também
sua freqüência centralizada em 1 com freqüências que estejam muito são diferentes, tendo características
kHz e um ajuste adicional feito pelo acima do valor indicado. Para altas próprias para este circuito.

36 I SABER ELETRÔNICA 401 I Junho 2006


A fonte de alimentação deve ser
simétrica e o fabricante não fornece
informações sobre a faixa passante
e seletividade dos circuito, além de
outras características.

Conclusão

Conforme os leitores devem ter


percebido pelas configurações mos-
tradas, elas são bastante semelhantes,
variando apenas poucos elementos e
os valores dos componentes usados.
A partir desses circuitos, o leitor
não terá dificuldade de fazer adapta-
ções para obter o desempenho dese-
jado numa aplicação.
Vs Sugerimos que o trabalho de pro-
jetar um filtro com base nessas confi-
gurações seja feito com programas
1 KH z
de simulação como o MultiSim 9, do
Electronics Workbench (EWB), que,
com a ajuda do Bode Plotter, pode ser
muito útil para definir as propriedades
-----111III finais de um filtro em projeto. E

SIMPLESMENTE [B!.~ImD~
• •
A série MIL-SM801 de switches 5hAir AccessG Pro A MIL-SM2401M Series é a linha mais
gerenciados de AP/Bridge: o novo poderosa e flexível de switches de
camada 2 MILAN ponto de acesso gerenciamento
oferece alto sem fio econômico de Camada 2
desempenho e e de classe MILAN.
switching sem bloqueio. empresarial MILAN.

A Transition Networks, líder do setor em qualidade do produto, disponibilidade e suporte, agora oferece os produtos de switching e sem
fio MILAN como um meio para que as pequenas empresas simplesmente conectem os dispositivos dos quais precisam.
A MILAN traz mais ao seu alcance. com menos do que você não precisa.

Com multo orgulho nossos distribuidores são:


MllAN DA www.mílan.com
.,I!t!/lEElll !.'!.
(11) 3618-3050
~R.~J"
(11) 5183-7015 (11) 6165-0801
~R!-~~!~r 952-941-7600
Informativo ABEE-SP N°17 - Junho/06 www.abee-sp.com Fique sócio da ABEE-SP
Você, profissional da elétrica, associe-se
à ABEE-SP por apenas R$60,00 por
ano. Você vai ficar por dentro de todas

Mensagem do as informações atuais da sua área.


Preencha a ficha de inscrição disponível
no site www.abee-sp.com e envie pelo

Presidente endereço eletrônico abeesp@abee-


sp.com

desempenho da missão do CREASP, chegar a todos os profissionais da


principalmente no campo da fiscalização engenharia elétrica, registrados e
e valorização do exercício profissional ativos no CREASp, o importante papel
° novo Presidente do CREA-SP
Or. José Tadeu da Silva, recebe em
da Engenharia Elétrica. Em 5 de maio,
comemoramos o "Dia Nacional das
associativo que desempenhamos, somos
mais de 6-7mil profissionais, dentre
audiência comissão da ABEE-Sp, nesta Comunicações" e através dos colegas: Engenheiros, Tecnólogos e Técnicos,
que foi a primeira reunião depois das Engenheiros Eletricistas Ruy Bottesi e esperamos por você, "A ABEE É A
eleições, ocasião em que formalizamos Felix Wakrat, divulgamos uma análise ENERGIA DA ENGENH,f>.RIA".
a entrega oficial do caderno especial profissional do setor, após 8 anos de Venha somar conosco.Até breve!
contendo o perfil completo do nosso privatização do Sistema TELEBRÃS, Engenheiro Eletricista João Oliva
QuadroAssociativo, acesse www.abee- acesse www.abee-sp.com e conheça CREASP 0600914179
sp.com e confira. Este é o maior seu conteúdo. Presidente
patrimônio, deste número de associados Associados: em breve divulgaremos
é que sai a representatividade daABEESP a programação completa do nosso
no Plenário do Conselho Regional.
Desejamos sucesso na gestão, e
Jubileu de OURO, dia 12 de setembro-
data de fundação da ABEESp,é desejo
Livros vendidos
vamos contribuir muito para o pleno dos Diretores e Conselheiros fazer pela ABEE
pelo e-mail abeesp@abeesp.com.br
obs.: preço de capa mais despesas de envio.

Instalações Elétricas
~ . ,._... d baí -
. UllAJ'EIISÃO e aixa tensao
: .' . ABN NBR 5410
•. ' 11 Hl*ii Preço: R$ 100,00
208 páginas

Inspeção Predial
Preço: R$ 20,00
Instituto Brasileiro de
. Avaliações e Perícias de
: ~. Engenharia de São Paulo
, 252 páginas
\
:ai
:El
Instalação da barra de
equipotencialização ABEE-SP
o engenheiro eletricista LuizAntô- o presidente da ABEE-SC, Paulo DIRETORIA
Gestão 2004/2007
nio Negri abriu uma discussão com Grunwald, considera que na BEP todos
colegas por e-mail que acabou tendo os sistemas deverão ser aterrados, Presidente: Eng.João Batista Serroni de Oliva
uma repercussão nacional. Segundo ele, Vice-presidente: Eng. Victor Vasconcelos
cujas dimensões podem inviabilizar a
12 Secretário: Eng. Sílvio Antunes
a discussão está ligada à proposta da instalação no QM."Devemos considerar 22 Secretário: Eng. Alexandre Ferraz Naumoff
Celesc - Centrais Elétricas de Santa que os DPS devem ser instalados até t2Tesoureiro: Eng.Odécio B.de louredo Filho
22Tesoureiro: Eng.José Antonio Bueno
Catarina - com base na NBR 5410/2004 50 cm do ponto de aterramento para
Diretor Social: Eng. Duílio Moreira leite
item 6.4.2. Na opinião dele esta barra de que sejam implantadas instalações Diretor s/ pasta: Eng. Aramis Araúz Guerra
equipotencialização deveria ser instalada adicionais", comenta.
CONSELHO CONSUlTIVO
dentro do Quadro de Medição e não Para o engenheiro Paulo Barreto,
Eng. Antônio Soares Pereto, Eng. Kleber
ser criado um apêndice do Quadro, que desconhece a norma da Celesc, a Rezende Castilho, Eng.João Bellizia Filho,
conforme proposto pela CELESC no NBR 5410 não obriga que o BEP seja Eng. Arnaldo A. S.Tassinari, Eng. Arnaldo Pereira
da Silva e Eng. Paulo E.Q. M. Barreto
adendo 2 de 28/08/2005. "dentro" de um quadro ou caixa. É
Ele observa que não sabe se existe exigido que o BEPesteja o mais próximo CONSELHO FISCAL
esta possibilidade, mas da forma como possível do "ponto de entrada" da linha Eng. Fernando Batista Blessa, Eng.luiz Carlos
Akantara e Eng. Walfredo Schmidt
propôs a Celesc não ficou prático. Para elétrica na edificação. Ele comenta ainda
quem faz medições, por exemplo, na que em edificações residenciais, via de CONSELHEIROS SUPLENTES
caixa lacrada, o barramento não está regra, a melhor posição para o BEP é Engenheiros José luiz Pegorim, Gregório Bittar
Ivanoff, Marcelo Peral Rangel, Márcio Antonio
disponível, logo, esta será a referência mesmo no Centro de Medição (QM) Figueiredo, João Chaebo Gadum Neto, Arnaldo
"terra" de todo o sistema. e instalado fora de quadros, fixados asse, Adriano Fidalgo dos Reis, Geraldo
Francisco Burani e Alexandre César Rodrigues
No mesmo adendo item 6, alguns em parede.
da Silva
engenheiros estariam confundindo Ainda segundo Barret, o o BEP tem
condutor de aterramento com ramal de de estar permanentemente acessível. Publicação da Associação Brasileira de
Engenheiros Eletricistas - Seção São Paulo
aterramento.Assim, o ramal está sendo Não pode ser lacrado. Observa também
Rua Dr. Tirso Martins, 100 - cj.505 - V. Mariana
superdimensionado pelo condutor de que, até onde sabe, não existe na CEP 04120-050 - São Paulo - SP
aterramento. Negri lembra que "ater- terminologia oficial o termo "ramal Telefone:(11) 5539-8048
www.abee-sp.com
ramento" é um dos grandes e graves de aterramento". "Condutor de aterra-
abeesp@abee-sp.com
problemas nas instalações elétricas. mento é o condutor que interliga o BEP
à malha de aterramento", conclui.
Jobson Modena, da Guismo Enge-
Colabore com a ABEE-SP via ART
nharia, comenta que na época da revisão OS profissionais de qualquer área tecno-
------- NR-10 Comentada-
NR·l0 COMENTADA
------ Manual de Auxílio na do texto da norma NBR 5410, afirmou lógica, associados à ABEE-SP ou não, que
MANUAl DE AuxiLiO Interpretação e Aplica- que nessas condições o BEp,em grande utilizam a "Anotação de Responsabilidade
NA INTERPRETAÇÃO Técnica - ART" devem preencher o código
ção da Nova NR -10 parte das situações, fica posicionado
, E APLICA~q :;::..
Preço: R$ 15,00 056 ou 56 do formulário. Com essa ação, o
.; DA NOVA NR-l o
Autores: João José
em pontos da instalação onde a energia responsável tem o direito de destinar 10% do
Barrico de Souza; ainda não é medida, o que pode causar valor à entidade de classe de sua preferência.
Joaquim Gomes Pereira conflitos entre as normas internas das Quando estes campos não são preenchidos,
102 páginas a contribuição deixa de ser feita. ART em
concessionárias e a 5410. papel: preencha 056 no campo 21. ART
Ele propõe a criação de um grupo eletrônica via internet (www.creasp.org.br):
formado por participantes da revisão preencha 56 no campo 30.
Contrafação
de patentes da NBR 5410, concessionárias e demais
Preço: 20,00 interessados. Modena sugere que aABEE
Autor: Balmes seja a entidade moderadora sobre o
r-====~ Vega Garcia
112 páginas
assunto para resolver esse verdadeiro
"balaio de gatos" em relação a BEP.
~ Eletrônica Aplicada

ESD OU Descargas Eletrostáticas


Veremos nesta segunda parte como implementar uma área
de trabalho protegida contra ESDe também discutiremos alguns
aspectos que podem ajudar na execução de uma operação
segura.

Roberto Luiz R. Cunha

Construindo uma área A mesa ou bancada empregada resíduos que acabem comprometendo
simples protegida contra ESD também deve ser preparada para con- o desempenho dos materiais anties-
trole de ESD e consiste, basicamente, táticos. Nessas áreas somente devem
o objetivo básico de uma área de uma superfície que apresente ser utilizados produtos adequados
protegida contra ESD é criar um resistência, em relação ao terra, dentro para controle antiestático.
local onde as cargas estáticas não dos valores citados. Para isso existem A composição mais básica de uma
se acumulem em níveis que possam materiais específicos como mantas área de trabalho com controle de
afetar os componentes e circuitos ele- de borracha dissipativa e fórmicas cargas estáticas é mostradana figu-
trônicos, sempre observando que não especiais. Observe que as ferramentas ra 1.
se pode evitar o o acúmulo de cargas elétricas (ferro de solda, por exemplo) A área de trabalho protegida
mas pode-se limitar sua quantidade empregadas nessa área devem ser deverá possuir um tapete ou piso
a valores seguros. aterradas. antiestático. Deverá ter também um
A forma de controle utilizada Esses materiais trazem partículas tampo de mesa antiestático. Junto
consiste no aterramento controlado ou fibras condutoras adicionadas à . à área é necessário que exista um
de materiais e pessoal. Esse controle se sua composição de modo a apresen- ponto de terra (de boa qualidade)
caracteriza pela limitação das corren- tarem as características necessárias. onde serão conectadas as superfícies
tes de descarga através de resistores É importante notar que os produtos antiestáticas através de resistores.
adequadamente escolhidos. usados para limpeza dessas superfí- O operador será conectado ao
De qualquer ponto dentro da área cies (mesas e piso) não podem deixar terra também, só que através de uma
protegida o valor da resistência para o
terra deve se situar entre 7,5 x 10se 1 x
Pulseira de
109 ohms. A resistência entre superfície aterramento
e terra pode ser obtida através do uso
Ponto de
de resistores discretos ou pela própria aterramento
resistência do material dissipativo
utilizado (como o mostrado na figura
3 da Parte 1 do artigo).
O aterramento pessoal deve ser
feito através de pulseira apropriada
(figura 4, da Parte 1), ca1canheiras
para sapatos normais (masculinos e
femininos) como os da figura 5a, da
Parte 1 e/ou jalecos dissipativos.
As ca1canheiras são usadas em
conjunto com os pisos dissipativos,
que existem em diversas formas e
preços (figura 5b, da Parte 1).

40 I SABER ElETRÔNICA 401 I Junho 2006


pulseira especial ou aterramento de uma placa por uma distância de, por
sapato. exemplo, 4 metros ela deverá ser
Um aspecto importante que deve totalmente embalada por material ATENÇÃO ATENÇÃO
UEADI! 1'IlAIUIIiLHO
I"IIH)TECIOA eol'O"u
ser citado é que, embora existam


antiestático, portanto o ideal é que DESCARGAS I!Ll1'R05T;'TICAS

soluções prontas de fabricantes con- toda a área de manuseio de placas e USE OS DISPOSITIVOS

ceituados em bancadas com proteção componentes eletrônicos esteja dentro OE PROTEÇÃO


APROPRIADOS.
~
US," DISPOSITIVOS DI!

contra ESD, uma bancada funcional de uma região de controle de cargas


CUIDAOO!

:I:~,,~~0r!:!~:~~ •...... ---~


••HOTCÇAO APAO"AIAOOS

poderá ser montada a partir de uma estáticas.


mesa normal à qual adicionaremos as Outra necessidade importante é F2. Sinalizações presentes em
superfícies dissipativas e as conexões que a área de controle antiestático áreas com controle de ESD.
de terra. seja muito bem sinalizada e com seus
Caso haja mais áreas com controle limites bem definidos. Nas paredes Para que o controle seja efetivo alguns
de ESD, elas deverão ser montadas e portas devem existir cartazes indi- outros aspectos também devem ser
preferencialmente próximas entre cando que para a entrada naquela considerados.
si. Isso deve ser feito para que o área (ou sala) cuidados devem ser É muito fácil que ocorram (e real-
transporte de placas e componentes tomados. mente ocorrem!) relaxamentos com
entre as áreas seja mais fácil, uma Sinais indica ti vos de controle relação aos cuidados no manuseio
que dentro dessa área não deverão antiestático são padronizados e exis- e transporte de placas e componen-
existir locais de alto risco para os tem prontos para utilização. Na figura tes eletrônicos. O simples fato de
componentes eletrônicos sensíveis. 2 podemos ver cartazes indicativos de implantar uma área com proteção
Caso essas áreas sejam separadas, área com controle antiestático. contra ESD provoca a.falsa sensação
o transporte de materiais entre elas No piso, os limites da área prote- de garantia de segurança.
deve ser feito com a utilização de gida devem ser marcados com uma As únicas formas de se evitar esse
embalagens antiestáticas. Conve- faixa amarela. problema são consegui das através do
nhamos que é muito difícil de se Os itens citados representam constante rponitoramento dos proce-
convencer alguém que para carregar apenas o básico no controle de ESD. dimentos e conservação de materiais

MONTAGEM DE PLACAS ELETRÔNICAS EM SMD AO ALCANCE DE TODOS PRODUÇÃO DE CHICOTES ELÉTRICOS

Stencil Printer Manual


• Inteiramente automática;
• Corte e decapa fios
de uma via em ambos
os lados;
• Total controle dos parâmetros através de
Pick&Place Semi-Automática
seu display;
• Diferentes tipos de fios podem ser cortados sem a
necessidade de ajustes mecânicos;
• Silenciosa devido a ausência de ar comprimido.

PSP BRASil
Produtos e Serviços Profissionais
www.pspbrasil.com.br
Fornos de Refusão
pspbrasil@pspbrasil.com.br "PSP Brasi
Tel.: (11) 5087.9433
•• Eletrônica Aplicada

Superfície ou ~
manta dissipativa
Ponto de ~
aterra mento

Para terra dos


equipamentos

Para terra
auxiliar
(quando existir)
/ ,,,,,....,,
Piso

F3. Uma bancada de trabalho preparada para controle de ESD.

(pulseiras, piso, mesa, etc.) do treina- Para atender as necessidades, dução ou laboratório de manutenção
mento e atualização dos funcionários. nesses casos, existem os kits de manta representa prejuízos que se manifes-
Uma auditoria que vise monitorar dissipativa que contam com ponto de tam de várias formas e com prazos
a eficiência dos procedimentos e aterramento e pulseira. variados.
qualidade dos materiais usados deve Na figura 4 podemos ver um A forma mais visível é dada pelas
ser executada pelo responsável do exemplo de uma manta portátil. falhas catastróficas dentro da linha de
programa de controle de ESD. montagem ou durante a manutenção.
Uma última observação é que, Neste caso, o equipamento simples-
embora consista em um conceito mente falha e um retrabalho deve ser
simples, o controle de ESD não signi- executado para que ele retome à sua
fica procedimentos que possam ser condição normal. Os prejuízos aqui
simplesmente implementados, eles estão nos custos dos componentes
têm que ser incorporados na vida danificados, custos de mão-de-obra e
da empresa, entendidos e, principal- no tempo perdido.
mente, aceitos por todos, desde o A forma menos visível mas tão, ou
dono até o último funcionário. até mais, prejudicial é representada
F4. Exemplo de kit
pela degradação dos componentes.
antiestático portátil. Ela compromete seu desempenho
Exemplo de implementação e sua vida útil e será responsável
de uma bancada protegida Como todo material voltado para por retornos para manutenção que
o controle de ESD, a manta portátil poderão ocorrer durante o período de
Na figura 3 temos um exemplo de precisa ser aterrada para funcionar garantia ou além. Os prejuízos aqui
bancada preparada para trabalho com adequadamente. vão desde os custos de manutenção
componentes sensíveis a ESD. até a própria imagem do fabricante
Muitas vezes, pode ocorrer que o do equipamento.
volume de trabalho não seja suficiente Redução de Custos É muito difícil avaliar os danos
para o investimento em uma bancada via Controle de ESD causados à imagem da empresa, mas
preparada para controle de ESD. Ou, que eles existirão é uma certeza.
mesmo, necessitarmos de um sistema A presença de descargas eletros- Um programa de controle de ESD
portátil para trabalhos de campo. táticas dentro de uma linha de pro- bem planejado pode minimizar, ou

42 I SABER ELETRÔNICA 401 I Junho 2006


até mesmo evitar, essas perdas e ou operador. Tenha sempre em mente
prejuízos. O controle de ESD tem que que um técnico treinado, mesmo sem
ser visto como um investimento na nenhum equipamento de proteção,
imagem de qualidade e não somente será mais eficiente do que um téc-
como uma ferramenta de controle de nico sem treino, mesmo utilizando
qualidade. todos os dispositivos de proteção
Apenas como exemplo, um rela- possíveis.
tório da AT&T, no período entre 1993 Todas as pessoas envolvidas deve-
e 1994, indicou uma economia de rão ser treinadas e periodicamente
mais de 50% em custos de retomo e atualiza das nos procedimentos.
manutenção de equipamentos após O que acontece normalmente, é
a implantação de um programa de que o emprego dos equipamentos
controle de ESD. de controle de ESD acaba levando a
uma falsa sensação de segurança e ao
relaxamento com medidas simples e
Conclusão básicas, entre elas, não utilizar copos
plásticos, evitar folhas de papel e
Estabelecer um programa de con- embalagens desnecessárias na área
trole de ESD efetivo não é uma tarefa de trabalho.
simples, embora a maioria das medi- A decisão de se iniciar um processo
das necessárias o sejam. de controle de ESD e que medidas
O principal elemento em qualquer tomar, poderá ser baseada na verifica-
programa de controle será o técnico ção dos itens indicados abaixo:

1. Verifique seu histórico de semicondutores forem, mais


falhas e manutenções. sensíveis a ESD eles serão.
Analise seus relatórios de falhas e Um Pentium 4 é muito mais
manutenções procurando por defeitos sensível que um 486.
sem causa aparente. Componentes que
falham "misteriosamente", sem que 4. Justifique a necessidade de deixe-os em local de fácil acesso. Crie
existam lotes defeituosos conhecidos, controle de ESD. sinalizações nas áreas que devem ser
apresentam grande chance de serem Como toda átividade que implica protegidas.
vítimas de ESD. em despesas para ser implantada,
mesmo que seja apenas gasto de 7. Treine todo o pessoal.
2. Examine suas instalações e tempo, todas as medidas e aquisições De nada adianta um processo bem
procedimentos. de equipamentos deverão ser basea- estudado se os técnicos e operadores
Verifique entre seus processos e das em dados concretos. não souberem como proceder ou
equipamentos, aqueles com maior utilizar os equipamentos.
chance de provocar o aparecimento 5. Obtenha o apoio de todos.
de problemas de ESD. Por exemplo, A implantação de um processo de 8. Reveja, analise e
a limpeza de placas empregando controle de ESD só será efetivada melhore seus procedimentos.
escovas com cerdas sintéticas pode se for apoiada em todas as áreas Nenhum processo é definitivo. Com
provocar sérios danos aos compo- envolvidas e em todos os níveis. Isso o tempo, novas medidas deverão ser
nentes eletrônicos. significa desde o dono da empresa tomadas a fim de que se adaptarem a
até o pessoal da faxina. novos componentes ou equipamen-
3. Identifique que componentes tos. Lembre-se que, normalmente,
ou placas sensíveis a ESD você 6. Documente as toda melhoria de processo vem
utiliza. medidas e procedimentos. das pessoas que os conhecem e
Lembre-se que quanto menores Crie documentos detalhando todos utilizam.
e mais rápidos os componentes os processos e procedimentos e E
~ Eletrônica Aplicada

TPM System
A eletrônica embarcada
nos pneus
Em corridas de F1, através da telemetria, dados sobre as condições dos pneus são
monitorados em tempo real e fornecem parâmetros para mudar a estratégia, fazendo
com que um time ganhe ou perca a corrida.
Mas e no dia-a-dia? Poucos brasileiros dão a devida importância a como andam a
pressão e a temperatura de seus pneus, e não percebem quanto combustível está sendo
jogado fora por causa disso. Sem falar na segurança posta ~m risco e na durabilidade
de suspensão e demais componentes.
Neste artigo abordaremos os novos sistemas de medidas automáticas de pressão e
temperatura de pneus (Tire Pressure Measurement System), e como isto já está se
tornando uma tendência internacional.

Alessandro F. Cunha

Para que medir a pressão e a Caso estas variações de pressão e Mas além da proteção contra aci-
temperatura dos pneus? temperatura fossem detectadas com dentes, detectar se os pneus estão
antecedência, informando ao condu-, com pressão abaixo (ou acima) do
Segurança, durabilidade, perfor- tor, acidentes teriam sido evitados e recomendado pelo fabricante do veí-
mance e economia. Estes são alguns vidas teriam sido salvãs, Desde então, culo significa economia. Somente
dos motivos para monitorar constan- os Estados Unidos criaram uma lei no mercado americano, pesquisas
temente a temperatura e a pressão dos em que sistemas de monitoramento indicam que 32% dos veículos rodam
pneus de carros, caminhões, motos de pressão e temperatura devem ser com pneus calibrados abaixo 30% da
e ônibus. implementados nos pneus a fim de pressão recomendada. Não existem
Em 2000, a Firestone era uma das avisar com antecedência a ocorrência pesquisas relevantes no mercado
principais fornecedoras de pneus de problemas. Nesta lei, a partir de brasileiro, mas basta guiar pela cidade
para a Ford. A Píck-up Explorer, um 2006, 20% dos veículos que saem prestando atenção aos carros na nossa
Sport Utility Vehicle (SUV) bem ao de fábrica já devem ter este sistema frente para perceber que estes núme-
gosto dos americanos, começou a implantado. Em 2007 serão 70% dos ros não são muito diferentes.
apresentar explosões repentinas dos veículos, chegando a 100% em 2008. Rodar com pneus descalibrados,
pneus durante longas viagens, cau- A União Européia segue a mesma com baixa pressão, deforma a banda
sando acidentes e até matando pes- tendência. de rodagem, fazendo com que seja
soas. Análises comprovaram erros A pressão incorreta dos pneus maior o esforço necessário para o
de projetos nos pneus, causando pode comprometer a estabilidade deslocamento. Maior esforço significa
aumento excessivo de temperatura do veículo, sua dirigibilidade e sua maior consumo de combustível. A
e pressão. capacidade de frenagem. economia que se obtém da instalação

44 SABER ElETRÔNICA 401 Junho 2006


de sistemas TPM ao longo do tempo em tempo real, fornecendo ao usuário Sensores
paga com folga os custos de instalação condições para tomar providências
e manutenção. imediatas caso algum problema seja 1. MPXY8020A- Freeseale Semicon-
detectado. duetor - Motorola
A medida de pressão é feita por Um dos sensores mais utilizados,
Tipos de TPM System micro-sensores em forma de células devido a sua facilidade de confi-
feitas de silício e elementos piezelé- guração e leitura de dados, é o
1. Medidas indiretas tricos. Uma variação na pressão do MPXY8020A da Freescale. Este sensor
Os primeiros sistemas TPM faziam ar causa uma deformidade em um pode ser alimentado com apenas 3 V,
medidas indiretas para monitorar diafragma conectado a um elemento o que possibilita o uso com baterias
apenas a pressão dos pneus. Um sensível. Esta deformação causa uma tipo moeda. Sua faixa de atuação é
aumento ou diminuição da pressão variação na tensão gerada pelo cristal mostrada na tabela 1.
nos pneus representa um aumento piezelétrico e é medida e convertida
ou diminuição proporcional em seu pelo sistema.
diâmetro. Mudança de diâmetro sig- A medida de temperatura é feita
nifica mudança de velocidade: maior por elementos como PTC e NTC,
diâmetro, menor velocidade; menor resistores que variam sua resistência
T1. Faixa de medida do
diâmetro, maior velocidade. Acopla- em relação a temperatura nele apli- sensor MPXY8020A.
dos aos sistemas de freios ABS eram cada.
instalados sensores que monitoravam Seu circuito interno é composto de
a velocidade de cada roda. Com todos 3. Sistema cenversores A/D que digitalizam as
os pneus calibrados e de mesmo Um sistema TPM típico, mostrado informações analógicas fornecidas pelos
tamanho, para uma determinada na figura 1, é composto dos seguintes elementos piezelétricos, no caso da
velocidade do veículo, todos os pneus itens: pressão, e pelo PTC, no caso da tempe-
devem ter a mesma rotação. Caso • Sensor: faz a medida dos dados de ratura, comoé ilustrado no diagrama da
um deles venha a ter uma queda de temperatura e pressão e os trans- figura 2. Estes dados são multiplexados
pressão a rotação se altera, e isso é forma em pulsos digitais; e enviados ao pino de saída do sensor.
detectado pelo sistema. • Microcontrolador: recebe os sinais Seu encapsulamento no formato
Este sistema de medida tem algu- do sensor e os prepara para a trans- SSOP (Super Small Outlíne Package), com
mas falhas: se todos os pneus tiverem missão. Faz também todo o geren- a pinagem indicada na figura 3, permite
a mesma queda de pressão, a veloci- ciamento de funcionamento do montagens compactas. Um exemplo de
dade será a mesma para todas as transmissor e do sensor; aplicação é mostrado na figura 4.
rodas, impossibilitando a detecção • Transmissor: faz a modulação dos
de diferenças de pressão. Se somente dados enviá dos pelo microcontro- 2. SP-12 / SP-13 - Sensonor
duas rodas tiverem problemas e per- lador e os transmite via RF; Sensor fabricado pela Infinitum
tencerem ao mesmo eixo, também • Receptor: recebe o sinal transmi- Technologies. Este sensor também
não serão detectados. Por conta disto, tido por cada um dos transmisso- pode ser alimentado com apenas 3 V,
estes sistemas foram gradativamente res, identificando cada um deles e o que possibilita o uso com baterias
abandonados. fazendo a demodulação do sinal; tipo moeda. Sua faixa de atuação é
• Microcontrolador: recebe os mostrada na tabela 2.
2. Medidas diretas dados demodulados pelo receptor
Sensores são instalados no inte- e 9s prepara para apresentar os
rior do pneu ou em sua válvula. Estes resultados ao usuário;
sensores fornecem saída de dados • Display: mostra os dados coleta-
que pode ser enviada para um micro- dos e informa a respeito de alarmes
controlador e para um transmissor de e avarias.
RF. Receptores instalados no painel
do veículo indicam os valores de
pressão e temperatura medidos e \
limites de alarme. Outros sensores
podem ser agregados, fornecendo
outros parâmetros como aceleração.
Com esta conexão sem fio (wíre-
1!&~
less), todos os pneus são monitorados
F1. Componentes de um sistema TPM

Junho 2006 I SABER ElETRÔNICA 401 I 45


_ Eletrônica Aplicada

r-----------------------~--------~VDD

Px Clock Internal
Gen HFOSC.

Digital
Power
Control
Control

Internal LF
OSC.

RST

B-Bit B-Bit L-
-{]
....I
T-Off
1Hm Current D/A
Source Register

OUT

.,..sample ~AP
..I.. AVSS
F2. Diagrama em blocos do sensor MPXY8020A

Este sensor possui elementos de


WAKE UP - 1 o 14 - NCS
medição de pressão, temperatura
RESET - 2 13 - SDO
Si - 1 8-S0 e aceleração (SP-12). Seu diagrama
TEST/GND - 3 12 - SCLK
VDD-2 7-CLK interno é visto na figura 5.
VSS - 4 11 - SDI
VSS-3 6 - DATA Este sensor também tem um encap-
NC-5 1Q-VPP
OUT-4 5- RST
DIG IN/GND - 6
VSS-7~
O 9 - VDD
~8-VSS
sulamento compacto, com a pinagem
mostrada na figura 6. Um exemplo de
aplicação é dado na figura 7.
F6. Pinagem do sensor SP-12

3. P2SC - Philips
A Philips também desenvolve uma solução de
sensor TPM, em parceria com a Siemens VDO. Esta
solução contempla sensores de pressão e temperatura,
e tem um recurso de enviar dados apenas quando
a central de monitoramento solicita (wake-up link),
fazendo uma grande economia de bateria. A Philips
prevê também a integração com um transmissor de
UHF no próprio sensor, o que diminui custos e aumenta
a capacidade de integração do sistema. O diagrama em
blocos desta solução é apresentado na figura 8.

46 SABER ElETRÔNICA 401 I Junho 2006


Voo

Input
multip/exer ADC Calibration
E]:
Timer
WakeUp

Reset

andS/H 14----NCS
Digital SPI 14----SCLK
interface línk ••...---SOI
1---+ soa
Temperature sensor OTPROM
Ca/ibration
data and ID 1..-4f------------ Vpp
Supp/y voltage sensor
...c- Vss

F5. Diagrama em blocos do senso r SP-12

Rei.~AlrD~ UHF
~ Oown-Unk·
Pressure Sensor Signal Condilioning, P25C+
--f

I
I
E-ROM
I
I
Timer
Modulator
B I- "
VOUT+ EXC+

,.
ROM

:-J.
Wake-Up
LF
Interface
881T
RISC

1
RAM
I
Interrupt
Control
[O ADC

I--
VOUT-
Pressure
Sensor

EXC-

I
RC Temp
Link
(10...30)
I EEPROM Oscillator Senso r
>-- Spare 110
(ext.WUP)
Li
I POWER MANAGEMENT
I e-- Motion
switch :: =- -:
3v

(optional)
- I
*:Subjectfuture integration MSE083

F8. Sensor P2SC

Circuitos circuito básico


sugerido pela
1. Sugestão da Freescale Freescale é mos-
Para compor todo o circuito trans- trado no dia-
missor, é necessário integrar o sensor grama da figura
a um microcontrolador, que fará a 9. Todos os
preparação dos dados coletados para elementos que
a transmissão e dirá ao sensor quando compõem o cir-
F7. Sensor SP-12
enviar os dados e fazer as leituras cuito são ali-
dos sinais. Uma vez que estes dados mentados por uma bateria de 3 V. um microcontrolador para gerenciar
estejam organizados, basta fazer Esta sugestão necessita de mais o funcionamento do sistema, e um
a modulação e transmiti-Ios. Um dois elementos acoplados ao sensor: transmissor de RF, que fará a modu-

Junho 2006 I SABER ELETRÔNICA 401 I 47


_ Eletrônica Aplicada

lação e transmissão do sinal. Para


aumentar o grau de miniaturização do '\17
Optional=
Sense
sistema e melhorar o aproveitamento
do circuito, a Freescale desenvolveu + S1
VDD
um microcontrolador que já possui -==-
3,OV
SO
Data
~
RF
Transmitter
I--

um modulador e transmissor de RF MPXY8020A State Machine


embutido, o MC68HC908RF2. Assim, •~
0,1/-lF Sensor CLK orMCU
bastam dois elementos para montar o ~
circuito: o sensor e o microcontrola- OUT
VSS
dor, como podem ser visto no circuito 1
sugerido pela Freescale na figura 10.
F9. Circuito básico para coleta de dados sugerido pela Freescale.

r~_att-,J>l.,..,-lu
.•S ~~ Bateria
L.......rVcc ••••• R4
N/C

I MP~02O
-:I: C7
lJi SO S1NPP1 R5(L1)."
12nH ..L.: pF
~CLK VDD~2~:t~~--~================~~III-1-----t
~ DATA VSS~ :::. C1 ';;;;PTA2IKBD2 PTA1/KBD1 ~ RS(C9)
É. RSTB /OUT~ L:
0,11lF ~ PTA3/KBD3 PTAO ~ 47 F

'--+--------+----"2""S
se PTA4/KBD4 PTBO/MCLK
PTA5/KBD5 PTB1
~
4-
CaJ.
N/C,Z
R2 ~ PTAS/KBDS PTB2fTCHO 5
~ 2/ PTA7 GNDJ.,S,-----+---,
L------4--t-----1---1---~2e/RST XTAL1~7~~---+----+-~-----. T----~
~ /RQ1 U1 XTALOba~+--+----lI--+----, ~Q1
'------+-----+--+--.....,....\24 YDO MCB8HC908RF2 REXTb9~+--+--' ristal•••
23 YSS CFSKh1"""O---lr-----l-+-+--+----, C5.~

~ g~~~
l2C PTB3ITCLK
RF~~g
GNDRF
~':'=~===:=~=~:~
--+--.
h'?;""3
27pF

--+
~
-----:;-e
-+-__ -+-1f--l117
DATACLK
DATA
BAND 'MODE
VCCTA14
ENABLE~
I R1
,12kQ ~
10~~O •••
L-
•• •••
C3
100 P'T
C4...
C6
N/C

--
Batt minus ~ )t-12~ nFI
r--i
•.........•
Fl O.Circuito sugerido pela Freescale com um sensor e microcontrolador

ATA5283 C1~
a
125kHz
~ r-- ----I Temp. Sensor
RESET I I
Central
Board
Contral/er
Antenna
Dr/ver
ATA5275 ) Micro-
contral/er 1
I
1
1
1

UHF·Rx
T5743
(
~ I
I
1
1
I
I
433MHz I ______ ---------------~
Fll. Circuito sugerido pela Atmel com um sensor e microcontrolador.

48 SABERELETRÔNICA 401 I Junho 2006


TEMPERATURA

Aplicações:
- Medições: medidas sem contato na linha de processo;
- Orientação.de componentes: reconhecimento de
posição e orientação de componentes;
- OCR (Optical Character Recognition):
reconhecimento ótico de caracteres.
- Qualidade: integridade das tarefas de montagem,
presença e ausência;
- Contagem e seleção de produtos: contagem dos
produtos defeituosos com a data e hora da ocorrência,
seleção por forma ou cor pré-definidos;
- Identificação de cores: identificação e seleção de
produtos pela cor e diferentes tonalidades.

Diversas aplicações nas mais variadas indústrias, tais como:


- Automobilística; - Gráfica;
- Alimentícia; - Eletrônica;
Panasonic - Embalagens; entre outras.

Consulte-nos e obtenha maiores informações sobre estes e demais produtos de nossa linha.
São Paulo (Matriz) Tel.: (11)5683-5706
BAHIA Tel.: (71) 3356-1287 PARANÁ Tel.: (41) 3357-3370
CAMPINAS Tel.: (19) 3227-9814 RIO DE JANEIRO Tel.: (21) 3872-3227
GOIÁS Tel.: (62) 3092-1565 RIO GRANDE DO SUL Tel.: (51) 3362-3652
MINAS GERAIS Tel.: (31) 3384-9476 SANTA CATARlNA Tel.: (47) 3435-0439

"""""".nlef'ollex. COnJ. br
•• Eletrônica Aplicada

2. Suqestãoda Atmel
A Atmel desenvolve algu-
o 1 o 1
-11 ~-.A~_.A -:-11 _~A -"-I~I+.U·.Ji.IH~iHH--I\-~-1\ ~- li -:-1\ -~I\ --I-HI+U·.a;.I·I.j~
mas soluções para sistemas 11 I 11 I .- T1 J1 I 11 I
I
. ~- i-I-~i- -t
I
+'
t i- i- -t
I

TPM. Com exceção dos senso- ~- ~-I-. -: r-l-- +: -:


res, toda a parte de microcon- - i - ~- ~- i - ~-
--~ --t-: - --' --~ - '
troladores, transmissores
receptores são desenvolvidos
e
----V --t
--~I --
---\j--Jj --V --v~
- '
--~--~--~ --V
~i '
--~U':.".J-liI-I-"U H·H·.,-4
por ela. Na figura 11 é ilustrada
uma solução completa sugerida
pela Atmel, onde o microcon-
trolador pode ser um 8051,
F12, Conceito de modulação FSK
sensores como os apresentados
anteriormente são acoplados
a um receptor (ATA5283).Um
transmissor de UHF (433MHz) o , , 1
envia os dados do microcon-
trolador. O receptor T5743faz a
-A :--/H-I\-~-A--'r-I\-- -
-:- , ~, 1-1 ~, - -L, -
- ,
,
, - e~
,, ,, --n== ~ --fi --~-
demodulação do sinal e entrega ,
L .,-
- ,
L
- ,
-' - - , - - , - -1-- --
, r:.
I --

K'
I --

--r-~-
-

,-
L ,- - L, - 1, - -,, - - - , , ,
-~- -,- J
, , , , ,
para a placa de controle, onde
-- - - r - ., - - - - , , - +- , ...-1_,- -I- -
, _l-,
outro microcontrolador traba- ~ , ,
--- -tJ-t!I-~- , .1._ _L

lha as informações e entrega ---V---V---v--- ~--V+ - , , - --V +-lJ ~-.v -~V -+\1
para um display.
Quando uma nova medida
é necessária, o microcontrola-
dor da placa de controle envia
F13. Modulação FSK com codificação Manchester
uma solicitação ao transmis-
sor ATA5275 e a antena do receptor cionar. Já freqü-
ATA5283 recebe o sinal e o demodula, ências maiores
fechando o ciclo de contato. não necessitam
de alimentação,
Freqüências e modulação uma vez que a
indução magné-
1. Faixas de freqüência tica nas antenas
Os sistemas TPM estão sendo já gera energia
desenvolvidos para trabalhar em três suficiente para
freqüências distintas: alimentar o cir-
cuito, exata-
315 MHz: padrão adotado pelos mente como
Estados Unidos; acontece nas eti-
F14. Kit TPM Smart Tire
433 MHz: padrão adotado pela quetas eletrôni-
União Européia; cas dos sistemas RFID; (Frequency Shift Key), ou modulação
2,4 GHz: proposta pela empresa • A faixa de freqüência de UHF já por chaveamento de freqüência.
alemã IQ-Mobil (www.iqmobil.de). é utilizada em muitos países para a Basicamente consiste em modular
transmissão de radio-amador e canais o sinal digital (zeros e uns) aumen-
Qual faixa de freqüência se tomará de televisão. Assim, estaria sujeita a tando ou diminuindo a freqüência
um padrão de mercado? A julgar pelos maior interferência, tanto na recepção de modulação, como é o princípio
sistemas em desenvolvimento e pelas quanto na transmissão de dados; do FM. Na figura 12 é exibido um
aplicações comerciais disponíveis, a • A largura de banda disponível exemplo desta técnica modulando
faixa de UHF (315 e 433 MHz) será para UHF é bem menor que a dis- os bits 1010.
o padrão de mercado, apesar das ponível para a faixa de GHz. Para aumentar a integridade dos
argumentações em prol de freqüências dados transmitidos, eles são codifica-
mais altas, onde as vantagens seriam: 2. Técnicas de modulação dos ainda com o método Manchester,
• Freqüências de UHF necessitam A técnica de modulação mais uti- como visto na figura 13, onde cada bit
de bateria no sensor para fun- lizada para os sistemas TPM é o FSK é representado por duas mudanças

50 I SABERELETRÔNICA 401 I Junho 2006


Tire-SafeGuard"
Sensor- Transmitter Module

F15. KitTPM Deso System F16. Sensor fixado com cinta metálica

Aplicações comerciais da Pressure Pro. Não precisamos


comentar o inconveniente deste tipo
Como ainda não são montados aqui no Brasil. Quanto tempo dura-
em série nos carros, vários fabrican- ria o sensor até que um "amigo do
tes desenvolveram aplicações comer- alheio" resolvesse se "apossar" do
ciais que podem ser compradas em mesmo?
lojas de acessórios nos EUA e na A interface com o usuário pode
Europa. O preço médio de um kit indicar a pressão e temperatura de
com sensores e central de recepção, cada pneu, através de um display,
como o mostrado na figura 14 (Smart ou ser algo mais simples indicando
Tire) ou na figura 15 (Deso System), apenas qual pneu tem problema e
oscila entre U$ 200,00 que tipo de problema é, como os
e U$ 300,00, sem a displays do Pressure Pro, ilustrado na
mão-de-obra de ins- figura 20.
talação. Para veículos longos pode ser
Por se ·tratar de necessária a instalação de antenas
um sistema sem fio, que repitam o sinal enviado pelos
a instalação é muito sensores, como no esquema exibido
/ simples: basta des- na figura 21.
montar os pneus,
fixar os sensores e
arranjar um local Conclusão
de fácil visualização
para a central de Além de garantir a segurança dos
gerenciamento. O usuários, economizar combustível e
sensor pode ser pneus, os TPM Systems tendem a se
fixado por fita metá- tornar uma referência de mercado.
lica (figura 16 Sua padronização e posterior imple-
Tire-SajeGuard) ou mentação em série em todos os carros
estar acoplado dire- a serem produzidos é inevitável,
tamente a válvula do assim como outros avanços da indús-
pneus (figuras 17 e tria auto motiva que até bem pouco
18). tempo pareciam apenas coisa de
Outros fabrican- carros de luxo.
tes desenvolveram A eletrônica embarcada em con-
F19. Sensor fixado na parte externa da válvula junto com sistemas sem fio permite
sensores que podem
de freqüência. Isto faz com que a ser fixados na parte externa do pneu, que soluções tecnológicas como esta
transmissão seja mais demorada, substituindo a tampa da válvula, se propaguem com a velocidade da
mas garante uma maior robustez. como o apresentado na figura 19, luz. E

Junho 2006 I SABER ElETRÔNICA 401 I 51


_ Eletrônica Aplicada

Os sinais enviados pelos sensores mais dis-


tantes podem não alcançar a central de
controle, devido ao comprimento do veículo.

Uma ou mais antenas podem ser posicio-


nadas no veículo para fazer uma repeti-
ção do sinal, aumentando seu alcance.

O sinal repetido alcança a central


de controle, informando o status
dos pneus mais distantes

F21. Antenas que repetem o sinal até a central de controle

52 I SABER ElETRÔNICA 401 I Junho 2006


MIC:ROC:HII=3

Sua aplicação requer um


AIO de baixo consumo e
alta resolução?
Com a linha AOC
MCP355X da Microchip
você obtém uma ótima
solução para aplicações
de baixo consumo e
limitação de espaço em
placa como dispositivos
portáteis e alimentados
por-sistemas à bateria.

Características:
• ADC de 22-bit (MCP3551) e
20bit (MCP3553) com offset
interno automático e
calibragem de ganho;
• Baixo Ruído de saída: 2.5uVrms;
• 3uV de Offset Error;
• 6 ppm (máx) de INL Error;
• Taxa de amostragem de 14sps
Maiores informações no link: www.microchip.com/mcp355x (MCP3551) e 55sps (MCP3553);
• Baixo consumo: 120uA;
E conheça mais da linha de • Interface SPI;
Componentes Analógicos Microchip
como: Amp. Operacionais, LDOs, • Alimentação: 2.7 à 5.5V;
Sensores Temperatura, Digipots, entre • Temp. de operação: -40C à
outros através do link: +125C;
www.microchip.com/analog • Packages: 8-pin SOIC e 8-pin
MSOP.
New and Improved
www.microchip.com
~ Eletrônica Aplicada

Sistema de Rede
CAN-Bus de dados
GM Corsa e Meriva
No final do ano de 2002, a General Motors do Brasil
lançou o Novo Corsa (chamado pela montadora de
Corsa e). o veículo veio com um novo design (linhas
mais retas em forma de cunha). Mas não foi só a
aparência que foi modificada pela montadora.
Sua principal mudançaem relação ao modelo
antigo foi a tecnologia empregada. A quanti-
dade de módulos eletrônicos e a interligação
entre eles através da rede eANBus de dados
fez dele um veículo mais confortável.

Antonio Cirilo de Souza

ssim como ocorre no sis- 1. BCM Multittimer: Sua função

A tema de rede CAN Bus


de dados do VW Pólo, o
GM "Novo Corsa" também
possui duas vias de comunicação com
as outras unidades.
é realizar as temporizações dos siste-
mas do veículo;

A de alta velocidade, chamada


pela montadora de V-Bus, que inter-
liga a BCM (unidade de controle da
carroceria) com a unidade de geren-
ciamento eletrônico do motor e o ACS
(sistema de embreagem eletrônica)
opcional.
E a de baixa velocidade conhecida
como E-Bus,que comunica a BCM com
a unidade do painel de instrumentos.
A unidade de controle de carroce-
ria está localizada no compartimento
do motor atrás do limpador de pára-
brisa (veja figura 1 e figura 2).
Existem três tipos de BCM, uma
para cada configuração de veículo:

54 I SABERELETRÔNICA 401 I Junho 2006


LI)
LI)
o
o
·til
C!l
E ...J o
UJ ...J
eu
..
.,.
o
~
z
+ Bateria :m .~ :m.~ F24 [] 'o
i i~
co:
(3D) I-
...•
di'
Comutado
ã
7~3A W
f-
~ eu eu eur------,
.5 A i
L.I.I
L.I.I
co:
,-~ I
Cll L.I.I
_-+-_e..;;g...,"lç
o U lá d d O O O O !XI
n a e e Unidade <C
I
C!l CIl C!l CIl
Gerenciamento b r Painel de
p:r fi • I
etron co
'O
s:
eu
'O
s:
~
eu ",m aragem
fI t.... I
'O
eu eu I
.c.c
'O
.•~.
nstrument"" ':T. J. '"
I ã:$I~I~li - tO
15
F17

~[~
r]
[JI
F1

r--,--l-+-._.:;-_dO_M_o_to_'
6..
~r,t' \
'l ~._~

••••..• :§:§
..
;..........
lerunca
!
:§ :§
I g
N
o
.c
20 A
F11.L
7,5 A
[h F22 ;t Interruptor Lu.z I
I Unid~edo
Imobilizado,
c:
...,:::l
15 A W5A de Emergência I ~I
E ~ ~
E E E ~ ~ 'O ~ 'O ~(X45) ~ ~ ~
»> a, m> m> :E::lfi :E:E:E
(X46) (X46) (X46) (X46) (X45) (X45) (X45) (X46) (X46) (X45) (X45) (X45)(X46) (X46 (X46)(X46)(X46)(X46)(X46)(X45)(X45)
_.;:;. 60 61 63 64 14 31 11 75 99 ;5 43 Unidade de 12 69 66 85 86 73 87 80 6 30
.:. (X45)(X45)(X45)(X45)(X45XX45) (X45) (X45) (X45) Controle de Carroceria (X45) (X45) (X45) (X45) (X45)(X45)
Bateria
-=-
.=.
-
_ 58 28 10
'O

9 29 59
CIl~
~-.::~'O>-.::
:E:E~>:E
E~ ~ E cD
32
~
~
:E

38 33
-s~:E
E 'O (X45)
~56
~
~
:E

27 36 3 25 21 57
.:. Relé Fechadura Relé Relé I Relé
• - Porta Motorista Destravamento Bloqueio Travamento
- '------'
~S --'
~Sã 1 L--J

tS
~ ~ ~ ." E ~ ." E ~ ." E ." I,E L L L I Jil
r++-:=:T-i~à:f~~~r;;:~~h ~~ ~ ~I ~ d: ~~ ~ I~ Ç>rÇ>r Ç>r Ljj-J
~ ~
~ )~~~l§V
~ Motor-Trava da
I ~
It~
Motor-Trava da
I~JVI I~ 31>1
Motor-Trava da Motor-Trava da 1M'! Motor-Trava da
~ ,l-I
Motor/Fechad~ra
I~t:~:~;t~r It--
y •. :E:E :E Porta Motorista :E Porta PassaQeiro Porta Tras. Oir. Porta Tras. ESQ . ...5"Tampa Combustfve Tampa Traseira
-(31)
CAN bus de dados - V-Bus Cores dos Fios
w -
-
CAN bus de dados - E-Bus
Sinal de Safda Pr - Preto Vm - Vermelho
Br - Branco Vd - Verde
- Sinal de Entrada
Az - Azul
-Positivo Am-Amarelo
VI- Violeta
-Massa
•• Eletrônica Aplicada

2. BCM Central de trava: presente km/h ou regular de 5 e 5 km/h até o


20 39
nos veículos equipados com trava- máximo de 30 km/h.
mento central; +++
+++ 75
3. BCM Alarme: Atende os veícu- Desligamento automático da luz +++
+++ +++
los equipados com alarme. de cortesia. +++
+++ +++
+++ Conector +++
Veja abaixo algumas funções da Programação de fábrica: desliga +++ +++
+++ X45 +++
BCM: após 30 min; +++ +++ Conector
+++ +++
+++ X46
• Em caso de colisão, as portas Possibilidade de programação: +++ +++
+++ +++
serão destravadas quando o veiculo de 5 em 5 mino Até no máximo 30 +++
+++
possuir BCM Central de trava; minutos. +++
64
+++
• Nos veículos que têm BCM Obs.: Estas programações só
19 60
Alarme, caso sejam guinchados, o podem ser feitas com a utilização de
58 38
alarme será disparado; um scanner (Tech2 da GM, Rasther da
• Travamento automático das Tecnomotor, Kaptor flex da Alfatest
eonectores da BeM
portas quando o veiculo atingir uma entre outros).
velocidade de 15 km/h (com BCM
Central de travas). Esta função pode Central elétrica. Posi-
ser (ou não) programada conforme ção dos fusíveis e
desejo do cliente; relés (figura 3)
• Destravamento das portas ao Obs.: A unidade dos
remover-se a chave do contato de igni- vidros elétricos das
ção. Função programável de acordo portas não está ligada via
com desejo do cliente; rede CAN como no Pólo.
• Caso o limpador de pára-brisa Porém, quando acionado
esteja ligado e o motorista engatar o alarme (que faz parte
marcha à ré, o limpador traseiro fará da BCM) o sistema de
uma varredura; fechamento automático
A seguir, veremos como fazer algu- dos vidros é ligado. A
mas "personalizações" no veiculo. unidade eletrônica dos vidros elétricos possível com a utilização de um scanner.
está localizada atrás do porta-luvas Para a leitura das informações de
Destravamento das portas através (figura 4). falha no sistema ou nova programa-
dos pinos da porta do motorista: ção conecte o aparelho através do
Programação de fábrica: destrava conector ALDL localizado no painel
todas as portas; Conclusão' de instrumentos, próximo aos botões
Possibilidade de programação: do ar-condicionado (figura 4). Na
destrava somente a porta do motorista. Assim como no VW Pólo, o díagnós- , próxima edição abordaremos sobre
tico do sistema de rede CAN Bus de o gerenciamento eletrônico do motor
Destravamento das portas através dados do Novo Corsa também só será do Ford Fiesta. E
da fechadura da porta do motorista
e do controle remoto: F29. F35. Relé farol alto.
F1.
Programação de fábrica: um
toque: destrava somente a porta do
motorista; dois toques: destrava as
demais portas.
Possibilidade de programação: F7.
um toque: destrava todas as portas.
Relé
farol de
Travamento automático das portas neblina.
em função da velocidade do
veiculo: Relé
Programação de fábrica: trava em farol
velocidade superior a 30 km/h. baixo.

Possibilidade de programação:
travar em velocidade superior a 5

56 I SABER ELETRÔNICA 401 I Junho 2006


Embedded lntel" Architeture - intel"
o poder do processador Intel
®

no seu produto de eletrônica


embarcada

~HITECH
HITECH Eletronica Indl Comi Ltda
Força Técnica da Intel no Brasil
Rua Cunha Gago 700 - 9 andar
I\MD\I\I.
ARROW BRASIL S/A
R. José Gomes Falcão, 111 - CEP 01139-010
.,.".r" lIa .r.a"

AVNET DO BRASIL
R. Luis Góis, 1205 - CEP 04043-300
Sao Paulo 05421-001 Brazil São Paulo - Brasil Sao Paulo - Brasil
Telefone: 11 30943830 Fone: 55 11 3613-9300 Fone: 11 50792150
Fax: 11 30943860 Fax: 55 11 3613-9355 Fax: 11 50792160
Email: componentes@hitech.com.br email: vendas@arrowbrasil.com.br email: vendas@avnet.com.br
vez mais rápido e, ainda assim, sendo Intel'" Architecture Processors - sentam algumas características para
acessíveis para o consumidor final. Processadores com sobreviver nestes ambientes:
Aliado ao baixo consumo de energia, arquitetura Intel'" • Baixa emissão térmica, ou seja,
a alta integração dos processadores não necessitam de coolers ou de ven-
Intel permite que esses dispositivos tilação forçada. Com isso, não existe
sejam móveis, operando por baterias interrupção no seu funcionamento caso
por longos períodos. o cooler falhe que, como sabemos, é
muito comum devido ao mesmo possuir
• Equipamentos médicos: partes móveis.
• Baixo consumo de energia elétrica,
característica ideal para aplicações de
Otimize a performance com pla- uso remoto como, por exemplo, esta-
taformas de sistemas embarcados ções de coleta de dados meteoro lógicos,
flexíveis. A família de processadores bóias marinhas inteligentes, satélites e
com arquitetura Intel e seus chipsets muitas outras aplicações que dependem
disponibilizam alta performance e baixo de fontes de energia limitada, entre
consumo elétrico, principais requisitos elas: baterias, painéis solares e fontes
de dispositivos embarcados. Adicionado de energia química.
Disponibilizar sistemas confiáveis, a um processamento de aplicações
escaláveis e de padrão aberto baseados robusto, os processa dores com arquite-
na linha de produtos embarcados da tura Intel incluem a terceira geração Alguns componentes
Intel permite que organizações de da tecnologia integrada de gráficos dessa arquitetura:
saúde melhorem, de modo eficiente, desenvolvida para aplicações voltadas
o tratamento de seus pacientes, otirni- ao processamento de imagens. • Processadores Intel Pentium M
zando seus sistemas de informações e a Para satisfazer o atual crescimento São processa dores
maneira de como essas informações são dos ambientes interconectados, os que vão de encon-
coletadas e compartilhadas. Utilizando, processadores Intel e seus chipset dls- tro com os siste-
como meio de coleta e compartilha- ponibilizam interfaces de entrada/saída, mas embarcados
mento, desde telemetria, sistemas de I/O, com alta taxas de tráfego, entre que necessitam de
navegação até videofones, terminais elas, PCI Express, Serial ATA, Gigabit alta performance
RFID (radio frequency identification) e Ethernet mas não deixando de lado as e baixo consumo.
muitos outros. A Intel fornece processa- interfaces com tecnologia mais antiga, Assim como as aplicações que são
dores para os mais variados equipamen- permitindo que todo investimento sensíveis à temperatura do ambiente.
tos médicos, desde os mais complexos anterior seja reaproveitado. E, com a tecnologia Intel SpeedStep, a
como sistemas de raio-X, tomografia e aplicação do usuário tem como ajustar
ressonância magnética, desfibriladores a freqüência de operação e a tensão de
automatizados, até os mais simples Componentes da arquitetura alimentação em tempo real, regulando
como termômetro digital e medidores embarcada Intel o consumo de acordo com as necessi-
de glicose. dades.
A Intel está cada vez mais empe- Desenhados para sistemas embarca-
nhada com o segmento de sistemas dos, estes processadores estão disponí- • Processadores Intel Pentium 4
embarcados, disponibilizando quatro veis em várias linhas de produtos que
famílias de processadores para este disponibilizarn, além das características
segmento. Com anos de investimento comentadas anteriormente como perfor-
em grande escala e suportada pela mance, baixo consumo e alta integração,
capacidade de fabricação e de qualidade a flexibilidade dos encapsulamentos
pela lntel, cada família de processa dores FC-PGA e FC-BGA. A alta performance
para sistemas embarcados possui várias destes processadores é complementada
ferramentas de desenvolvimentos e com alta taxas de I/O e processamento
programas de suporte, permitindo ao concorrente dos chipsets, memória e
projetista uma redução de tempo entre dispositvos de I/O Intel. Provêem performace para aplica-
o protótipo e o produto final. E, como são desenhados para serem ções que requerem alto poder compu-
Estes são alguns membros dessa instalados em locais muitas vezes tacional com escalabilidade, ajudando a
família: agressivos ou inóspitos, eles apre- reduzir o TCO do projeto. ~

Junho 2006 I SABERELETRÔNICA 401 I 59


• Processadores Intel Xeon TM e
Low Voltage Intel Xeon TM

São soluções para aplicações de


comunicação específicas que requerem
altos níveis de performance de pro-
cessamento. O processador Low Vol-
tage Intel Xeon TM possui como bene- para o segmento de mercado de com- de longevidade assegura um maior
fício adicional baixa emissão térmica, putação embarca da. retorno do investimento a longo prazo
tornado-o ideal para aplicações que porque não precisa trocar e testar
necessitam alto poder computacional • Chipset Intel 855GME novos produtos a cada 18 meses, como
mas com baixo consumo. Composto pelo controlador de I/O no mercado de informática.
(ICH) Intel FW82801 DB ou pelo Intel Depois de toda essa apresentação
• Processadores Intel Celeron M 6300ESB, é o candidato perfeito para você deve estar se perguntando: Onde,
São processadores que provêem aplicações de na pratica, eu encontro essas soluções
performance combinado com baixo con- comunicações Intel? Na prática, você as encontra em
sumo. São destinados a dispositivos de juntamente lugares onde nem pensaria, como por
comunicação de baixa emissão térmica com o pro- exemplo, em uma BMW-.
e número reduzido de componentes cessador Intel Você sabia que nos carros BMW
externos. Pentium M. série 7 existem processadores e chip-
E aliada à experiência em processa- sets Intel fornecendo acesso à internet
• Chipset Intel E7501 dores, a Intel está compre metida com e navegação aos seus usuários?
Este chipset os desenvolvedores de plataformas já prestou atenção ao caixa ele-
possui suporte embarcadas. A prova desse comprometi- . trônico do seu banco? Ele também
a dois processa- mento é o suporte de longa duração que utiliza um processado r Intel. E o caixa
dores Intel Xeon a Intel mantém para os desevolvedores. do supermercado? já o observou? Os
ou Low Voltage Veja o gráfico acima. processadores Intel estão em muitos
Intel Xeon ou, Processa dores como o Intel Pentium lugares em que você nem imagina.
em modo mono- 11, 486, 386 e até o 186 ainda são Em suma, a Intel está inteiramente
processado, Intel Pentium M. Projetado mantidos pela Intel. De maneira geral, comprometida com os desenvolvedores
para sistemas que necessitem de alta os processa dores para sistemas embar- de sistemas embarcadas, sejam eles de
taxa de transferência nos barramentos, cados Intel são suportados por, pelo aplicações simples, tais como um tele-
memórias e l/Os e é uma opção para menos, 5 anos após o seu lançamento, fone IP, até sistemas mais complexos
uma transição para tecnologias de nova enquanto que no mercado de PC a de computação móvel ou sistemas de
geração. média é de 1B meses. Esta garantia telecomunicações. E

• Chipset Intel 875P


Suporta processadores da família
Intel Pentium 4 com excelente relação
custo/benefício para sistemas de com-
putação embarcados. Este chipset é
composto pelo controlador de memória
(MCH) Intel 8287SP e pelo controlador
de I/O (ICH) Intel 6300ESB. juntos
eles disponibilizam largura de banda
excepcional e suporte a controladores
gráficos de última geração necessários

60 I SABERElETRÔNICA 401 I Junho 2006


Eletrônica Aplicada _

Equipamentos
Médico-Hospitalares:
normalização, qualidade e
responsabilidade Parte 2
No artigo anterior tratamos um pouco da classificação de produtos para saúde, da importância da
regulamentação e dos aspectos legais sobre Vigilância Sanitária e suas implicações e da necessidade da
normalização. Nesta segunda parte, vamos abordar o Sistema Brasileiro,dê Certificação e sua importância
para os produtos para saúde.

Vagner Rogério dos Santos


----------------------------------------------

Sistema Brasileiro contínua da qualidade, leva em con- regionais e internacionais. Esse pro-
de Certificação (SBC) sideração a infra-estrutura tecnológica cedimento é usado por fabricantes ou
instalada no Brasil para garantir os importadores como meio de informar
Em 1994 foi estabelecida a Portaria ensaios e certificações necessárias e atrair o consumidor.
nº 2.043/94 que entre outras provi- definindo-se, assim, os tipos de equi- Compulsória: Quando é feita por
dências, disciplina o conteúdo dos pamentos que devem ser certificados um instrumento legal emitido por
regulamentos técnicos dos produtos, e de acordo com suas conformidades um organismo regulamentador e se
privilegiando a adoção de normas destina, prioritariamente, à defesa dos
técnicas nacionais, e prevê a adoção Avaliação da conformidade: consumidores, no que diz respeito
do Sistema Brasileiro de Certificação Processo sistematizado com regras à proteção da vida, da saúde e do
(SBC). pré-definidas, devidamente acompa- meio ambiente. www.inmetro.br em
nhado e avaliado, de forma a propi- 08/04/2006
Sistema Brasileiro de Certificação ciar. adequado grau de confiança de
(SBC) que um produto, processo ou serviço, Certif cação:
O SBC foi instituído pelo CONME- ou ainda um profissional, atende É um conjunto de atividades
TRO - Conselho Nacional de Metro- aos requisitos pré-estabelecidos em desenvolvidas por um organismo
logia , Normalização e Qualidade normas ou regulamentos. É impor- independente da relação comercial
Industrial - pela Resolução 08/92 tante observar que a Avaliação da com o objetivo de atestar publica-
(revista pela Resolução 02/97) para Conformidade pode ser voluntária mente, por escrito, que determinado
estabelecer uma estrutura de certifica- ou compulsória. produto, processo ou serviço está
ção de conformidade adequada às neces- Voluntária: Quando parte de uma em conformidade com os requisitos
sidades do Brasil. www.inmetro.br decisão exclusiva do solicitante e especificados. Estes requisitos podem
tem como objetivo comprovar a con- ser: nacionais, estrangeiros ou inter-
o SBC está estruturado de forma formidade de seus processos, produ- nacionais. www.abnt.org.br em
a buscar na certificação a melhoria tos e serviços às normas nacionais, 08/04/2006

Junho 2006 I SABERELETRÔNICA 401 I 61


11III Eletrônica Aplicada

Resolução n? 444, de 31 de agosto De acordo com a normas NBR IEC Para produtos importados é neces-
de 1999, Estabelece: Adoção do Sis- 60601.1e NBR60601.2os equipamentos sário que exista uma reconhecimento
tema Brasileiro de Certificação SBC eletromédicos de certificação compul- entre os OCP's do Brasil e do país
sória são apresentados na tabela 1. de origem de fabricação do produto.
Em seu Art 2º Para garantir a certificação e qua- A este documento se dá o nome de
§ 1º Os equipamentos eletromédi- lidade, o INMETRO possui laborató- Memorando de Entendimento (MOU),
cos referidos neste artigo são aqueles rios credenciados para a realização que concede a validação dos ensaios
definidos na norma técnica brasileira dos ensaios de avaliação da conformi- no país de origem do produto.
NBRIEC 60601.1e enquadrados como dade e qualidade seguindo as normas O RAQCE é um documento emi-
de médio risco (classe 2) ou alto risco nacionais ou outro documento nor- tido pelo OCp, que antecede certifi-á

(classe 3), conforme classificação de mativo, estes laboratórios formam cação de conformidade, identificando
risco contida na Portaria nº 2.043, a rede Brasileira de Laboratórios de o fornecedor e seu produto, relatando
de 12 de dezembro de 1994, para os Ensaios - RBLE os resultados da avaliação do controle
quais existam normas particulares O Fornecedor responsável por da qualidade da fábrica e dos ensaios
brasileiras da série NBR IEC 60601.2. equipamentos eletromédicos de certi- de rotina. O RAQCE relata a viabili-
ficação compulsória deve ser detentor dade para a co~clusão da certificação
Estas medidas contribuíram para do Certificado de Conformidade ou de conformidade, que pode ser por
a adoção de cerificação compulsória Relatório para Análise da Qualidade meio do MOU ou da RBLE.
dos equipamentos definidos na e da Certificação do Equipamento
norma técnica brasileira NBR IEC (RAQCE) emitido por um Organismo
60601.1 Equipamento Eletromédico de Certificação de Produtos (OPC), Penalidades
Parte 1 - Prescrições Gerais para e da Declaração do Fornecedor para
Segurança e normas técnicas da série ter o direito de comercializar seu Todas as atividades profissionais
NBR60601.2 produto livremente no Pais. estão sujeitas a penalidades e con-

11. Regras especificas para a certificação de equipamentos eletromédicos www.inmetro em 08/04/2006


Fonte INMETRO Norma n° NIE-DQUAL-068

NBRIEC60601.1/1994 Parte 1 - Prescrições Gerais para Segurança


NBRIEC60601.1/1997 Parte 1 - Prescrições Gerais para Segurança - Emenda número 1
NBR60601.2-02/1998 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos cirúrgico de alta freqüência
NBR60601.2-03/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos de terapia por ondas curtas
NBR60601.2-05/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos por ultra-sorn para terapia
NBR60601.2-06/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos de terapia por microondas
NBR60601.2-10/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos para estimulação neuromus-
cular
NBR60601.2-12/1998 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos para ventilação pulmonar em
utilização médica
NBR60601.2-13/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de-Aparelho de anestesia
NBR60601.2-14/1998 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos para eletrovulsoterapia
NBR60601.2-16/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos de hemodiálise
NBR60601.2-18/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos de endoscopia
NBR60601.2-19/1997 Prescrições particulares para segurança para Incubadora para recém-nascido - RN
NBR60601.2-20/1998 Prescrições particulares para segurança para Incubadora de transporte
NBR60601.2-21/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos Berço aquecido para recém-
nascido
NBR60601.2-22/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos terapêutico e de diagnóstico a
Laser
NBR60601.2-24/1999 Prescrições particulares para segurança para Bombas e controladores de infusão
NBR60601.2-25/1997 Prescrições particulares para segurança para eletrocardiógrafos
NBR60601.2-26/1997 Prescrições particulares para segurança para eletroencefalógrafo
NBR60601.2-27/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos para monitoração de eletro-
cardiograma
NBR60601.2-30/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos para monitoração automática
e clínica da pressão sangüínea indireta (não Invasiva)
NBR60601.2-31/1998 Prescrições particulares para segurança para marca passo cardíaco externo com fonte de alimentação interna
BR60601.2-34/1997 Equipamento eletromédico parte 2 - Prescrições particulares para segurança de equipamentos para monitoração da pressão
sangüínea direta (invasiva)
NBR60601.2-38/1998 Camas hospitalares operadas eletricamente
BR60601.2-40/1998 Eletromiógrafo e equipamento de potencial evocado
NBR60601.2-46/2000 Equipamento eletromédico parte 2 - Prescrições particulares para segurança para mesas cirúrgicas

62 I SABER ELETRÔNICA 401 I Junho 2006


trole profissional, e com os produtos educação continuada e a especializa-
para saúde acorre o mesmo com um ção se faz uma necessidade constante, fED@1]u(j)mfJaD
agravante: o usuário está totalmente ainda mais, quando se trabalha em
dependente da tecnologia utilizada uma segmento multidisciplinar como
0@)[ffi
e, em alguns casos, sem condições de o segmento de produtos para saúde. ~[![J@0BBB
avaliar, questionar sobre a utilização A regulação de produtos para a
do aparelho, instrumento ou recurso saúde abrange: Saúde Pública, Direito
tecnológico usado. e Engenharia. As regulamentações
Uma falha tecnológica, aplicação sofrem alterações e atualizações cons-
inadequada de uma tecnologia ou tantes, sendo os dados apresentados
mesmo irresponsabilidade no manu- válidos até o fechamento deste artigo,
seio poderá, acarretar em morte do recomendamos novamente que o
usuário. leitor consulte as leis e organismos de
Para que o leitor tome conheci- certificação para consultar possíveis
mento, estão descritas abaixo, algu- atualizações.
mas das leis, as quais estão sujeitas Esperamos ter contribuído um
às empresas e prestadores de serviço pouco para a compreensão deste Preencha, recorte e envie hoje mesmo o cupom abaixo.
Se preferir, solicite-nos através do telefone ou fax
e outros que, de alguma forma, não fascinante universo das tecnologias (de segunda à sexta-feira das 08:00 às 17:30 h)
atuarem corretamente. para saúde, e sua importância para a
sociedade. Até a próxima. E • Eletrônica Básica
• Lei n? 9.695 de 1998 • Eletrônica Digital
Acrescenta incisos ao art. 1º da Lei • Rádio - Áudio - Televisão
Bibliografia:
nº 8.072, de 25 de julho de 1990, que • Compact Disc
dispõe sobre os crimes hediondos, • www.abeclin.org.br
• Videocassete
• www.abnt.br • Forno de microondas
e altera os arts. 20, 50 e 100 da Lei
• www.anvisa.gov.br • Eletrônica; Rádio e Televisão
nº 6.437, de 20 de agosto de 1977, e
• www.inmetro.gov.br • Eletrotécnica
dá outras providências. • www.iee.usp.br • Instalações elétricas
• Lei nº 9.782 de 1999 • Enrolamento de motores
Define o Sistema Nacional de Vigi- Márcio Costa Araújo, Certificação de equi-
• Refrigeração e Ar Condicionado
pamentos eletromédicos no Brasil-li Con-
lância Sanitária, cria a Agência • Microprocessadores
gresso Latino-americano de Engenharia Bio-
Nacional de Vigilância Sanitária, e médica 23/03/2001
dá outras providências. Gerenciamento da Manutenção de Equipa- Em todos os cursos você tem uma
• Lei nº 8.072 de 1990 mentos Hospitalares; Faculdade de Saúde CONSULTaRIA PERMANENTE!
Pública da Universidade de São Paulo; Edi-
Dispõe sobre os crimes hediondos,
tora Fundação Peirópolis Ltda; Autores Saide
nos termos do art. 5º, inciso XLIII, Jorge Calil; Marilda Sólon Teixeira Bottesi
Occidental Schools@
da Constituição Federal, e deter- R. Cesário Ramalho, 783
mina outras providências. • Lei 6360/76 - Vigilância Sanitiria de Pro-
Fone: (011) 3272-9833
dutos para Saúde - http://e-Iegis.bvs.br
• Lei nº 9.677 de 1998 FAX: (011) 3209-7889
• Lei 6437/77 -Infrações sanitárias
Altera dispositivos do Capítulo III http://e-Iegis.bvs.br 01521-000 - São Paulo - SP
do Título VIII do Código Penal, • RDC 185/01 - Registro de produtos médi-
incluindo na classificação dos deli- cos - http://e-Iegis.bvs.br
• Portaria MS n° 2.043, de 12 de dezembro
'i- -------------------SE4Õ1" ,
tos considerado hediondos crimes
de 1994 - http://e-Iegis.bvs.br
contra a saúde pública, e dá outras • Portaria Conjunta nO1,de 23 de janeiro de
Occidental Schools@
providências. 1996 - http://e-Iegis.bvs.br Caixa Postal 1663
• Lei nº 10.406 de 2002 • Resolução n° 444, de 31 de agosto de 01059-970 - São Paulo - SP
1999 - http://e-Iegis.bvs.br Solicito, GRÁTIS
Institui o Código Civil.(Novo
• Portaria n° 686/98 o Catálogo Geral de cursos
Código Civil). I
: Nome: _
Levantamento de laboratórios que reali-
zam ensaios com equipamentos eletromé- ~----------------------
I End.: I
dicos - www.anvisa.gov.br/
Conclusão i :
reblas/pesquisa.htm
Procedimentos Básicos para registro de : Bairro' . II

o informação é fundamental para produto para saúde - www.abimo.org.br : CEP: :


que um profissional possa atuar de Norma INMETROnoNIE-DQUAL-068 - I Cidade: Est.: I
I ------------- ----I
www.imetro.gov.br
forma correta e com qualidade; a I -------------------~

Junho 2006 I SABERELETRÔNICA 401 I 63


•• Microcontroladores

Microcontroladores
Holtek
Família HT48
Parte 2
Iniciamos na Edição nO 400 uma
pequena série com o objetivo de fornecer ao
leitor informações sobre a estrutura do microcontro-
lador Holtek, família HT48E. Durante nossa pequena série de
artigos utilizaremos o microcontrolador HT48EI0. Os outros membros
da família possuem basicamente a mesma estrutura, variando apenas o número
de l/Os e alguns periféricos. Para o leitor interessado, esta seqüência de artigos poderá
e,

consistir em uma excelente documentação básica para um estudo mais aprofundado dos
microcontroladores Holtek, família 48E.
Márcio José Soares

C
onforme demonstrado no
artigo publicado na Edição nº
400, a família Holtek 48E uti-
liza arquitetura RISC (Reduced
Intruction Set Code) que proporciona
um excelente ganho de perforrnance,
entre outras vantagens. Neste mesmo
artigo fornecemos também várias dicas
sobre as ferramentas e softwares dispo-
níveis para a programação do rnicro-
controlador Hf48E.
Nesta segunda parte de nossa série
trataremos da estrutura básica do
microcontrolador, da memória de
programa e dados, além da descrição
de seus registradores de uso especial.
t-~I-'--'=:":"":":"-=.tXl PBO-PB7

Hardware Interno

Na figura 1 é mostrada a arquitetura


interna do rnicrocontrolador Hf48EIO.

Fl. Arquitetura interna do HT48El O

64 I SABERElETRÔNICA 401 I Junho 2006


Microcontrolador

www.holtek.com

Clock Memória Memória Mem6rla


PartNo. VDD 1/0
Sistema Programa Dados EEPROM

18DIP/SOP
HT48E06 2.2V-5.5V 4OOkHz-8MHz lKx14 64x8 128x8 13 2
20SS0P

HT48El0 2.2V-5.5V 4OOkHz-8MHz lKx14 64x8 128x8 19 4 24SKDIP/SOP

HT48E30 2.2V-5.5V 4OOkHz-8MHz 2Kx14 96x8 128x8 23 4 24SKDIP/SOP


28SKDIP/SOP

HT48E50 2.2V-5.5V 4OOkHz-8MHz 4Kxl5 16Ox8 256x8 33 2 6 28SKDIP/SOP


48SS0P

HT48E70 2.2V-5.5V 4OOkHz-8MHz 8Kxl6 224x8 258x8 58 2 2 16 48SS0P


64QFP

Tlmer Interrup.
PartNo. VDD
Clock Memória MemDadórl110
.8 1--..,..--4-...,...':""-1 I'C PWM PDF UART Stack Encapoul.
Sistema Programa O 8-bll 1~1 Ext. Inl.

HT46R22 22V-5.5V 400kHz-8MHz 2kx 14 64x8 19 3 ..( 9-bitx8 B-bIIxl ..( 24SKDIP/SOP

3 ..( IO-bitx8 B-bh2 ..( 24SKDIP/SOP


HT46R23 22V-5.5V 400kHz-8MHz 4k x 15 192><8 23
28SKDIP/SOP

..( IO-bitx8 8-bllx4 ..( 28SKDIP/SOP


HT46R232 22V-5.5V 400kHz-8MHz 4k x 16 192><8 40 2 8
48SS0P

..( ic-enxa B-bllx4 ..( 28SKDIP/SOP


HT46R24 22V-5.5V 400kHz-8MHz 8k x 16 384><8 40 2 16
48SS0P

MemórIa da Dadoa 8..,11 Inlerrup.


Clock Memória
PartNo. VDD 110 Tlmer
AIO PWM PDF Stack Encapaul.
Sistema Programa SRAM EEPROM ExI. Inl.

2 9-1>itx4 8-1>ilxl ...( 18DIP/SOP


HT46R47 2.2V-5.5V 4OOKhZ-8MHz. 2k x14 64x8 13 6
20SS0P

HT46R48E 2.2V-5.5V 4OOKhZ-8MHz lkx14 64x8 128x8 13 2 8-1>itx4 8·bilxl ...( 4 18DIP/SOP

HT46R47E 2.2V-5.5V 4OOKhZ-8MHz 2k x14 64x8 128x8 13 2 9· bilx4 8-bilxl ...( 6 18DIP/SOP

Clock Mamórla Memória 110 8-bIt Interrup.


PartNo. VDD AIO PWM PDF Stack Encapaulamenlo
SIstema Programa Dadoa Tlmar Ext. Int.

2 12-bltx5 s-bft x t ...( 18DIP


HT46R51 2.2V-5.5V 4OOkHz-BMHz lkx 14 88x8 14 6
20SOP/sSOP

18DIP
HT46R52 2.2V-5.5V 4OOkHz-8MHz 2kx 14 88x8 14 2 12·bilx5 8·bilx1 ...( 6 20S0P/SSOP

HT46R53 2.2V-5.5V 4OOkHz-BMHz 2k x 15 88x8 22 2 12·bII x 8 B-bttx 1 ...( 6 28SKDIP/sOP

HT46R54 2.2V-5.5V 4OOkHz-8MHz 4kx 15 88x8 22 2 12·bil x 8 s-bít xl ...( 6 28SKDIP/SOP

CIock Mamórla Mamórta 110 8-bIt Internlp.


PartNo. VDD AIO Comparador PPG PDF Stack Encapaulamento
Slatama Programa Dados Tlmar Ext. Inl.

24SKDIP
HT46RI2 2.2V-S.SV 400kHz-8MHz 2k x 14 88x8 16 2 2 3 9-b~ x4 2 8
24SOP

28SKDISK
HT46RI4 2.2V-5.5V 4OOkHz-8MHz 4k x 15 192x8 20 2 3 3 9·bltx8 2 8
28S0P

'Only Time Programmble

[f! c I K A
Distribuidorade
Componentes
Eletrônicos
Rua Belo Horizonte, 102 - Belenzinho - São Paulo - SP - Brasil- CEP 03052-040
Tel.: (11) 6693-6428/ Fax: (11) 6693-8805 - www.cikaeletronica.com / vendas@cikaeletronica.com
•• Microcontroladores

o leitor deve ter notado a separação


entre obus (barramento) da memória de
OOOH Oevice Initialization Program
dados e o bus da memória de programa. I
I
I
Esta é a principal característica da 004H
I
Externallnterrupt Subroutine
arquitetura RISC. Assim é possível I
I
l1mer/Event Counter
ter um set de instruções reduzido, 008H
Interruot Subroutine
mas bastante poderoso que enfatiza as I
I
operações de bit, byte e registradores. I Proqram
I
I Memory
Um outro dado importante a ser I

ressaltado é o fato de todos os peri- nOOH Look-up Table (256 words)


féricos e registradores de controle nFFH
I I
I I
dos pinos de 1/0 estarem ligados ao I
I
I
I
I
barramento da memória de dados. I

Assim, a estrutura interna de um 700H Look-up Table (256 words)


3FFH, /
microcontrolador qualquer da famí- /
v
lia HT48E será muito parecida com 14 bits
outros elementos da mesma família, Note: n ranges from O to 3

com pouquíssimas variações para


F2. Organização da memória de programa do HT48E1 O
pinos de I/O e periféricos.
Organização da interrupção deverão ser inseridas
Memória de Programa utilizando este endereço como refe-
Controle de Stack rência.
(pilha) do HT48E10 A figura 2 demonstra a divisão Já o endereço 08H é reservado ao
da memória de programa do micro- vetor de tratamento da interrupção
O microcontrolador HT48E10 tem controlador HT48E10. A área total do Timer/Counter. As mesmas obser-
implementada uma estrutura de pilha está organizada em 1024 posições vações feitas a respeito do endereço
com quatro níveis. O empilhamento de 14 bits cada, que são acessadas 04H são válidas aqui.
do endereço de desvio é feito quando através do PC (Program Counter), Devido à proximidade entre os
é chamada uma sub-rotina através além dos registradores de controle endereços dos vetores de tratamento
da instrução de desvio CALL. O de tabelas. de interrupções, fica óbvio que no
desempilhamento é realizado pela A posição de memória no endereço .. caso do uso de ambas as interrupções,
instrução RETI (retorno do desvio). OOHé reservada para o início do pro- é quase que impossível inserir as sub-
O leitor deve estar atento para este grama, ou seja, sempre que o micro- rotinas necessárias ao tratamento em
limite quando programar o HT48E10, controlador for ligado oú "resetado" tais vetores.
pois há apenas quatro níveis de empi- ele buscará pela primeira instrução Neste caso, o uso de uma instrução
lhamento (em outros membros da do programa nesta posição. Assim, o . de desvio é a melhor opção. Logo
família HT48E este número pode ser leitor dever tomar o devido cuidado
maior). Portanto, só é possível chamar para que seu programa sempre se
três sub-rotinas dentro de uma outra inicie com este endereço.
sub-rotina, ou ainda realizar quatro O endereço 04H é reservado
chamadas (seqüencialmente) de sub- para o vetor de tratamento para
rotinas com a instrução CALL, uma interrupção externa. Então,
dentro da outra. caso o programa desen-
É importante que o leitor com- volvido faça uso
preenda que o empilhamento que da interrupção
estamos nos referindo diz respeito externa, as pri-
aos endereços de desvio feitos com meiras instru-
a instrução CALL. Não estamos nos ções para tra-
referindo ao empilhamento de dados, tamento desta
prática muito comum e utilizada em
outras famílias de microcontrolado-
res com arquitetura não RISC. Este
tipo de empilhamento (dados) não é
possível na família 48E.

66 I SABER ElETRÔNICA 401 I Junho 2006


OOH Indlrect Addressing Register " HT48E06 1024 14 bits
01H HT48El0 1024 14 bits
02H HT48E30 2048 14 bits
03H HT48ESO 4096 15 bits
04H HT48E70 8192 16 bits
05H ACC
06H PCL
n. Palavra da memória de programa para a família HT48E
07H TBLP
08H TBLH O número de bits na (que serão descritos mais à frente) e
09H WDTS palavra para memória de 64 bytes utilizados pelos registradores
OAH STATUS
OBH INTC programa para a família de uso geral (memória RAM - 40H a
)
OCH HT48E é variável, con- 7FH). O espaço dedicado a memória
ODH TMR forme a tabela 1. RAM entre um ou outro microcontro-
OEH TMRC
OFH Assim a memória de lador da mesma família pode variar.
10H programa para a família É importante salientar também
11H HT48E será sempre for- que o número de registradores de uso
12H PA
mada por um único banco, especial pode variar, dependendo do
13H PAC
14H PB permitindo que qualquer modelo do microcontrolador. Estes
15H PBC desvio no programa seja registradores auxiliam tambémno
16H PC
controle dos periféricos presentes no
feito pelas instruções JMP
17H PCC
18H
19H
ri--Read asuOO"
e CALL de forma direta,
sem a necessidade de
microcontrolador e então, é natural
que com a inclusão ou mesmo alte-
1AH
chaveamento de bancos, . ração de um ou outro periférico, o
1BH
lCH como em outros micro- número destes registradores também
1DH controladores. seja alterado. O leitor não deve se
1EH Devido à arquitetura preocupar com isso neste momento.
1FH
apresentada não é possí- Em um artigo futuro dentro desta
29H série, discutiremos a respeito da
3FH
vel para o programa ler a
40H General Purpose própria memória de pro-
i DATAMEMORY grama. Isso é muito utili-

7L (64 Bytes) zado no caso de armaze- Catálogos de


namento de dados como
RAM Mapping
tabelas, por exemplo, esquemas e
a sub-rotina pode ser inseri da em
dentro da própria área
de programa.i Para contornar este
de manuais
.
uma área de maior espaço. A única problema, basta usar as instruções ['VICO
precaução aqui é o uso da instrução TABRDC e TABRDL. Através destas
RETI (retorno de interrupção) após o é possível ter acesso à memória de
encerramento da mesma. programa, possibilitando fazer uso
O leitor deve ter notado que o desta para o armazenamento de dados
tamanho da "palavra" na memória (apenas para leitura).
de programa do microcontrolador
HT48E10 é de 14 bits. Esta é uma
característica muito interessante a Memória de Dados
respeito de sua arquitetura, pois
uma única palavra de 14 bits pode Na figura 3 o leitor pode ver a
conter o operador e operando do estrutura da memória de dados do
comando. Ou seja, cada uma das microcontrolador HT48E10, toda
posições de memória de programa baseada em registradores (a maioria
(1024) guardará um comando com- disponível para escrita e leitura). •
pleto. Desta forma, o microcon- São 23 posições com 8 bits cada
Caixa
• •
Postal 79306
trolador gasta apenas um ciclo de (perceba que a memória de dados
máquina para ler tanto o código da possui uma palavra com 8 bits -1 byte - sowjte se CEP: 25501-970
i{e('(\e~ São João de Meriti - RJ
instrução quanto o seu operando para todos os registradores) utilizados i~\e9{á\\S Tel: (21) 2756-1013
(dado da operação). para os registradores de uso especial pedidos@alvapoio.com.br

Junho 2006 I SABER ELETRÔNICA 401 I 67


•• Microcontroladores

maioria destes periféricos e deta- Bit Descrição


lharemos o uso de seus respectivos c ° Carry Flag - Ligado quando há o "estouro" em uma operação de soma (resultado).
Também utilizado em operações de subtração.
registradores de controle. Este bit é afetado em operações de rotação.
AC Auxiliary Carry Flag - Ligado nas mesmas situações que o Bit Carry, porém conside-
rando-se apenas operações com a parte menos significativa de um byte
Registradores de Uso Especial Z 2 Zero Flag - Ligado quando uma operação qualquer na ULA resulta em zero
OV 3 Overflow Flag - Ligado quando uma operação resulta em carry, mas considerando o
bit mais significativo.
A seguir, serão descritos os regis- PDF 4 Power Down Flag - Ligado quando executado a instrução HALT.Desligado pelo micro-
tradores de uso especial presentes no controlador durante o power-up ou ainda quando executado CLRWDT
microcontrolador HT48EIO. TO 5 Time-out Flag - Ligado durante estouro do tempo do WDT.Desligado durante a execu-
ção das instruções CLRWDT ou HALT
6 Bit não utilizado -lido como zero
Indirecting Addressing Register 7 Bit não utilizado -lido como zero
(OOH)- Esse registrador é utilizado Obs.: na tabela 2 o termo "ligado" deve ser interpretado como "levado ao nível lógico 1" e a palavra "desligado"
para endereçamento indireto dos como "levado ao nível lógico O':

registradores, juntamente com o T2. Registrador de Status


registrador MP (OlH). Mais à frente, Nome Bit Descrição
dentro desta série (artigo futuro), EMI ° Controle global das interrupções
(1 - interrupções habilitadas, O-interrupções desabilitadas)
quando tratarmos do set de instru- EEI Controle da interrupção externa
ções o seu uso será detalhado. (1 - interrupção habilitada,O - interrupção desabilitada)
ACC (05H) - Toda movimentação ETI 2 Controle da interrupção timer/counter
(1 - interrupção habilitada,O - interrupção desabilitadal
de dados passa por este registrador.
3 Bit não utilizado -lido como zero
a acumulador é o registro de tra- EIF 4 Aviso de requisição da interrupção externa
balho de um microcontrolador. É (1 - interrupção ativa, O-interrupção não ativa)
através dele que carregamos um TF 5 Aviso de requisição da interrupção timer/counter
(1 - interrupção ativa, O-interrupção não ativa)
dado na memória, realizamos ope-
6 Bit não utilizado -lido como zero
rações matemáticas com aULA, etc. 7 Bit não utilizado -lido como zero
É neste registrador também que a T3. Registrador de controle para interrupções
ULA (Unidade Lógica Aritimética)
insere os resultados de uma deter- trolador (ULA, periféricos e outros). Conclusão
minada operação artitimética. Este registrador é muito utilizado
PCL (06H) - Este registrador quando de uma operação aritimé- . Nesta segunda parte de nossa
contém a parte menos significativa tica, situações de desvio e outras. A mini-série sobre os microcontrola-
do PC (Program Counter). Alte- tabela 2 demonstra seus bits. dores Holtek HT48E detalhamos
rando-se este registrador, pode-se algumas partes do hardware muito
realizar saltos de até 256 posições Quando demonstrarmos alguns importantes como a estrutura da
de memória. exemplos práticos (nos próximos, / memória e a descrição dos regis-
TBLP (07H) e TBLH (08H) - Regis- artigos) o uso deste registrador será tradores de uso especial. Para o
trador "ponteiro de tabela" e detalhado. leitor acostumado com o uso de /
"ordem alta da tabela", respectiva- microcontroladores, muita coisa
mente. Utilizados em conjunto INTC (OBH)- Registrador de con- pode ser assimilada facilmente. Já o
com as instruções TABRDC e trole para as interrupções presentes leitor que está iniciando, poderá se
TABRDL para realizar a.Ieitura no microcontrolador. A tabela 3 sentir, num primeiro momento, um
de "dados" dentro da área depio- apresenta seus bits. tanto perdido e até rr(e{o confuso.
grama. Quando abordarmos o set Mas não há motivo para preocupa-
de instruções o uso destes registra- Quando demonstrarmos o uso dos ção. / /
I
dores será detalhado. periféricos (em um próximo arJ:igo),o Nos próximos artigos, o leitor
WDTS (09H) - Registrador utilizado uso: deste regístrador será detalhado- receberá mais informações sobre
para o controle do Wacthdog Timer I outras partes cio hardware e muito
(Relógio Cão de Guarda). Quando TMR (ODH)e TMRC (OEH)- Regis- em breve (ainda dentro desta série)
tratarmos dos periféricos, em um tradores de controle e uso para o-. alguns circuitos práticos. Através
próximo artigo dentro desta série, o periférico timer/counter. Quando destes, o leitor poderá exercitar seus
uso deste registrador será detalhado. demonstrarmos o uso dos periféri- conhecimentos e analisar a função de
STATUS (OAH) - Registrador que cos (em um próximo artigo) o uso cada item exposto neste artigo. Bons
informa o "estado" do microcon- deste registrador será detalhado, estudos e até a próxima! E

68 I SABERELETRÔNICA 401 I Junho 2006


Dê um upgrade em sua carreira! Direito ao
CREA
Faça o Curso a Distância de Técnico em Eletrônica do
Instituto Monitor. Você estuda em casa, no seu ritmo,
começa o curso quando quiser e consegue sua formação
com economia de tempo e dinheiro.
Concluindo o curso, você terá direito a:
• certificação em três qualificações profissionais:
- Instalador e Reparador de Circuitos Eletrônicos
e Microcomputadores,
- Assistente em Eletrônica e Comunicação de Dados,
- Projetista em Eletrônica:
Veja a opinião de quem fez:
"Como viajo muito, não teria conseguido • diploma de técnico, reconhecido pelo MEC,válido em todo o Brasil;
, .
me formar neste curso se não fosse por • registro profissional no CREA,o que significa melhores
meio de uma escola a distância. Gostei oportunidades de emprego e remuneração;
demais do material didático de ótimo nível
e do atendimento. Vocês estão de parabéns. " • dar seqüência a seus estudos em nível superior.
-.
Ahirton Gonçalves, 46 anos, Com diploma de técnico e registro no CREA, você se destaca
Matr. 19893, Itapeva, :..S:-P_--- __ e conquista seu espaço no mercado de eletrônica, tornando-se

Curso NR-10
um profissional mais valorizado.
------~--------~--
Informe-se também sobre o curso NR-1O, ·fORMAÇÃO A DISTÂNCIA INSTITUTO MONITOR.
obrigatório para quem trabalha com instalações
elétricas, nas suas diversas etapas.
Você nunca se sentiu tão em casa para aprender.

SIM, desejo receber, grátis e sem compromisso, mais informações sobre o curso de:

Nome: _

End. N·: _______ Complemento: _

Bairro: _ CEP: _

Cidade: _ Est.: _

E-mail: Tel.: _
Digital
Newton C. Braga

Parte -2
Fundamentos
)
e
Protocolos do MPEG
Em artigo na edição anterior fizemos
uma breve introdução aos processos de
compressão, verificando porque eles são
necessários e quais as vantagens do MPEG
no caso específico da TV digital.
Neste segundo artigo, onde continua-
Codifica~ão Espacial ou Temporal?
mos a abordar o tema, veremos os
princípios em que se baseia a compressão No artigo da edição passada, verificamos que para a compressão
de video, os quais são fundamentados de um sinal de vídeo pode-se aproveitar tanto a redundância espacial
justamente na nossa percepção visual. O quanto a temporal. A redundância espacial ocorre quando numa
tema terá uma abordagem introdutória mesma imagem temos largas regiões com as mesmas características,
mas com os elementos essenciais para caso de um fundo extenso da mesma cor.
A redundância temporal acontece quando detalhes de uma
que o leitor, por conta própria, possa
imagem não mudam na passagem de quadro a quadro.
depois se aprofundar no assunto procu-
No MPEG, a redundância temporal é reduzida em primeiro lugar
rando literatura especializada. Infor- aproveitando-se as semelhanças entre imagens sucessivas. Quando
mamos, inclusive que o leitor encon- essa técnica é usada, $ preciso apenas enviar como informação as
trará uma versão mais completa diferenças entre as duas imagens, e essa diferença passa então por
desse artigo na internet no endereço uma compressão espacial.
www.sabereletronica.com.br. O mesmo A compressão espacial se baseia nas semelhanças entre pixels
foi elaborado com base em material da adjacentes de grandes áreas de uma imagem, além das freqüências
espaciais das áreas dominantes.
Tektronics.

Codifica~ão Espacial

Para se fazer a codificação espacial de um sinal, o primeiro passo


consiste em realizar uma análise das freqüências espaciais usando-se
uma transformada.
A saída de uma transformada consiste em um conjunto de
coeficientes que descreve como as diversas harmônicas que formam
esse sinal (e as freqüências que ele contém) se distribuem. Se
esses coeficientes forem manuseados com precisão suficiente,
a sua utilização para recuperar a forma de onda original dará
excelentes resultados.

70 I SABER ELETRÔNICA 401 I Junho 2006


A transformada mais utilizada nesse
tipo de aplicação é a transformada de Nenhuma
Entrada
correlação,se as
Fourier. Essa transformada localiza cada
Função } freqüências forem
freqüência componente do sinalde entrada
base diferentes
multiplicando a forma de onda de entrada
por uma amostra de uma freqüência
alvo, denominada função base. Depois, o Entrada Alta correlação,
produto é integrado, conforme mostra Função se as freqüências
}
a figura I. base forem as mesmas
~Os resultados podem ser semelhante
aos ~ostrados se a componente de
freqüê1ncia está em fase com a função-
Espelho
base. Entretanto, se a componente de
freqüência estiver em quadratura com a
função-base, a integral ainda será zero.
Por isso,é necessário realizar duas buscas
para cada freqüência, com funções-base
em quadratura, uma em relação à outra,
de tal forma que todas as fases do sinal de Componente cosseno
entrada sejam detectadas. coerente através do espelho,
A transformada de Fourier tem a
desvantagem de precisar de coeficientes
tanto para a componente seno como para
o cosseno de cada freqüência.
Na transformada do cosseno, a forma .-.
--f .."1
de onda de entrada é espelhada no
~
tempo em relação a ela mesma antes da
multiplicação pelas funções-base. A figura
1:S r;r:J
2 ilustra que esse espelhamento cancela
rs;r-rtiJ
todas as componentes senoidais e dobra
todas as componentes cossenoidais. fiSLJj
A função-base senoidal é desnecessária El?IJU
e apenas um coeficiente se torna neces-
ELIDIa
sário para cada freqüência.ATransformada
Discreta de Cosseno (DCT) é a versão 5J)I[fg
Formas de onda
amostrada da transformada de cosseno
horizontais espaciais
e usada intensamente na forma de duas
dimensões do MPEG.
Um bloco de 8 x 8 pixels é transfor- dos coeficientes individuais de uma DCT Fica claro para imagens em cores,
mado num bloco de 8 x 8 coeficientes. de 8 x 8 bits. que as amostragens de diferença de cor
Como a transformada exige a multiplicação No caso do sinal de luminância, o também precisam ser manuseadas. Dados
por frações, existe uma extensão do co~ficiente do topo à esquerda é o brilho Y, Cb e Cr são montados em conjuntos
comprimento da palavra, resultando em médio ou a componente DC do bloco diferentes de 8 x 8 e transformados
coeficientes que têm um comprimento inteiro. individualmente.
maior do que os valores dos pixels. Movendo-se para a direita na fila
Tipicamente, um bloco de pixels de 8 superior, a freqüência espacial horizontal
bits resulta em um bloco de coeficientes aumenta. Com movimento para baixo na Pondera~ão
de I I bits. Assim, a DCT não propor- coluna da esquerda, a freqüência espacial
ciona compressão, mas sim um aumento aumenta nas imagens reais; freqüências A figura 4 exibe um gráfico em que
da quantidade de bits. No entanto, verticais e horizontais diferentes podem temos a percepção humana ao ruído em
nesse formato, a compressão torna-se ocorrer simultaneamente e, com isso, um função da freqüência espacial.
possível. coeficiente em algum ponto dentro de Através desse gráfico é possível
Na figura 3 temos os resultados de bloco vai representar todas as combina- perceber que mais ruído é tolerado nas
uma transformada inversa para cada um ções horizontais e verticais possíveis. altas freqüências espaciais.Tambémno caso

Junho 2006 I SABER ELETRÔNICA 401 I 71


um pequeno aumento do ruído. Já os Varredura
coeficientes que representam freqüências
espaciais mais elevadas são requantizados Em um material de programa típico, os
com passos maiores, logo, estão sujeitos coeficientes DCT mais significativos são
a mais ruído. normalmente encontrados perto do canto
No decodificador, "zeros" de baixa superior esquerdo da matriz. Depois da
ordem são adicionados para recuperar os ponderação, os coeficientes de baixos
coeficientes às suas magnitudes corretas. valores podem ser arredondados para
Eles serão então multiplicados pelos zero. Uma transmissão mais eficiente
Freqüência espacial fatores inverso~ de ponderação. Seguindo poderá ser obtida se todos os coeficientes
a ponderação inversa, os coeficientes diferente de zero forem transmitidos
terão seu valor original de saída na DCT antes, seguindo-se um código que informa
de ruídos de vídeo temos efetivamente o mais o erro de requantização, que será que os coeficientes restantes são zero.
mascaramento pelos detalhes mais finos maior nas altas freqüências do que nas A varredura é uma técnica que aumenta
de uma imagem,enquanto nas áreas planas baixas. a probabilidade de se alcançar esse resul-
esse ruído se torna claramente visível. Fica claro que o grau de compressão tado, porque ela envia os coeficientes em
A compressão reduz a precisão dos obtido e, na verdade, a taxa de saída de ordem decrescente de valor.
coeficientes e tem um efeito semelhante bits obtida, é função do grau em que o A figura 6(a) mostra que num sistema
quando se usa uma amostragem mais processo de requantização é aplicado.Taxas não entrelaçado a probabilidade é maior
curta em PCM.lsso significaque o nívelde de bits diferentes vão exigir diferentes para um coeficiente com um valor maior
ruído aumenta. Em PCM, por se diminuir tabelas de ponderação. tendo um valor maisalto no canto superior
o tamanho das palavras, o nível de ruído No MPEG é possível utilizar diversas esquerdo; e menor para um coeficiente
aumenta da mesma forma em todas as tabelas de ponderação, e a tabela em uso no canto direito inferior.
freqüências. pode ser transmitida ao decodificador Uma linha diagonal em ziguezague em
Como a DCT divide o sinal em diver- de tal forma que a correta decodificação 45 graus é a melhor seqüência para ser
sas freqüências, torna-se possível controlar seja assegurada. usada nesse caso.
o espectro do ruído.Assim,nesse processo
os coeficientes das baixas freqüências são
deixados de uma maneira mais precisa do Divide pela Divide pela

que os das altas freqüências através de um matriz escaaI


84 199 448 362 342 112 31 22 980 12 23 16 13 4 1 o
quantizadora quantizadora
processo de ponderação. .JlI '\.!..I o o
198 151 181 264 59
I€ 14 3 12 9 8 11

Na figura S apresentamos o modo 7


Y ~ o 2 o 1
142 291 218 87 27 88 27
~ 13

como o processo de ponderação fun- 111 133 159 119 58 65 36 2"'- l>- '6 6 4 2 1 o o
ciona. 49 85 217 50 8 3 14 12 2 3 8 1 o o o o
Nesse processo de ponderação, os 58 120 60 40 41 11 2 1 2 4 2 1 1 o o o
coeficientes da DCT são divididos por 30 121 61 22 30 1 4 1 1 4 2 1 o o o o
constantes que são função da freqüência 22 28 2 33 24 51 44 81 o o 1 o o o o o
bidimensional. Os coeficientes das baixas E ntrada dos coeficientes DCT Saída dos valores dos
freqüências são divididos por pequenos (um bloco mais complexo) coeficientes DCT para mostr ar
números e os das altas freqüências são somente valores não reais.
divididos por números maiores.
Seguindo a divisão, o resultado é Non-Uneaf
Unesr
8 16 19 22 26 29 34 Coei.
truncado até o inteiro mais próximo. Esse 27/ \auant Sca/e QuentSca/e

corte é uma forma de requantizar. Na


16 16 22 24 27 1€9 34 37 1 2
~J
19 22 26 27 29 34 34 38 16 8
8
ausência de ponderação a requantização
22 22 26 27 29 34 37 40 16 32 24
tem por efeito aumentar uniformemente
22 26 27 29 32 35 40 48 20 40 40
o tamanho do passo de quantização,
26 27 29 32 35 40 48 58 24 48 56
mas com a ponderação, ele aumenta
26 27 29 34 38 48 56 69 28 56 88
com passos de acordo com o fator de
27 29 35 38 46 56 69 83 31 62 112
divisão.
Valores da matriz quantizadora: Valores da escala quantizadora: todos
O resultado é que os coeficientes valores usados correspondem os valores de códigos são mostrados.
representando as baixas freqüências a locação dos coeficientes. Um valor usado para um bloco
espaciais são requantizados com passos completo 8x8.
pequenos e, com isso, sofrem apenas

72 I SABER ElETRÔNICA 401 I Junho 2006


Nova Série de Osciloscópios DP04000 'Iektrnmx-
Digital Phosphor Oscilloscopes /

( Search )

ThktronjX DPO 4104 Digital Phosphor OsciJloscopc


---
@

r----- Mark ------,

o O O O O O O

Como seria se você se tivesse


que encontrar alguma informação
na Web sem as ferramentas de
busca?

• . a.
nova Série ..
DP04000 da Tektronlx, •• ••••

• • •
Tektronix®
,. . • ••

Para mais
informações: FARNELL NEWARK

•• • • • • ••• Fone (11) 4066-9400
ln~l~
.
(11) 4066-9410
• •• •• • Fax
saber@farnell-newarkinone.com
www.tektronix.com/dpo4000 • • •• : .•
entrada escaneado deve ser armazenado
para que possa ser convertido em blocos
de 8 x 8 pixels.
O estágio DCT transforma a infor-
mação de imagem no domínio das freqü-
ências. A DCT por si só não consegue
nenhuma compressão. Seguindo a DCT, os
coeficientes são ponderados e truncados,
proporcionando uma primeira compressão
significativa.
Os coeficientes são escaneados em

( a ) Ziguezague ou clássica ziguezague para aumentar a probabilidade


(normalmente para quadros) de que coeficientes significativos ocorram
antes na varredura. Depois os últimos
coeficientes que não sejam zero, e um
Na figura 6(b) temos uma alternativa de uma forma mais eficiente. Onde EOB (fim de bloco ou End of Block) é
de padrão de varredura, podendo ser existem valores que se repetem, com~ gerado.
usada para fontes entrelaçadas. Se numa no caso de uma seqüência de zeros, o Os dados de coeficientes são a seguir
imagem entrelaçada, um bloco de 8 x 8 RLC simplesmente transmite o número comprimidos pela codificação run-/ength
DCT de um campo se estende por duas de zeros em lugar de cada bit individual- e code-/ength. Em um sistema de taxa de
vezes a área vertical da tela, então para um mente. bits variável, a-quantização deve ser fixa,
certo detalhe de imagem, as freqüências mas num -sisterna de taxa de bits fixa,
verticais vão aparecer duas vezes maiores um buffer de memória é usado para
do que as freqüências horizontais. Um Codificador Espacial absorver as variações na dificuldade de
codificação.
A figura 7 demonstra os conceitos No decodificador, a corrente de bits
Codifica~ão de Entropia que envolvem um quantizador espacial. é "desserializada" e a codificação de
Os sinais de entrada são do tipo 4:2:2 entropia revertida para reproduzir os
No vídeo real nem todas asfreqüências SDI (Serial Digitallnterface),os quais podem coeficientes ponderados. Os coeficientes
espaciaisestão presentes simultaneamente. ter de 8 a 10 bits de comprimento por são colocados na matri z de acordo
Isso quer dizer que a matriz de coeficientes palavra. MPEG utiliza apenas resolução' com a varredura em ziguezague e uma
DCT deverá conter termos que são de 8 bits, o que significa que um estágio ponderação inversa é aplicada para criar o
zeros. A requantização vai aumentar o de arredondamento será necessário para bloco original de coeficientes DCT.
número de zeros, eliminando os valores quando o sinal SDI contiver palavras de Seguindo uma transformada inversa,
pequenos. Apesar de se usar a varredura, 10 bits. o bloco de 8 x 8 pixels é recriado. Para
os coeficientes zero vão apaerecer entre A maioria dos perfis MPEG opera, obter uma saída do raster escaneado, os
os valores significantes. com amostragem 4:2:0, o que significa blocos são armazenados numa RAM, que
O RLC (Run Length Code) permite que que um filtro/estágio de interpolação é lida linha por linha. Para obter uma saída
esses coeficientes sejam manuseados será necessário. O formato de raster de 4:2:2 de dados 4:2:0, um processo de

Controle de velocidade
Dados quantizados
Dados Converte de Dados
completos Codificação
:2:2 para 4:2: DCT Ouantiza Buffer comprimidos
de 10 de entropia
de 8 bits
bits
Informação perdida- Sem perdas- Redução de Dados reduzidos Sem perdas-
dados reduzidos nenhum dado dados (informação (sem perdas) nenhum dado
reduzido perdida) reduzido

Ouantização Codificação de Entropia

Reduz o número de bits para cada Codificação de comprimento variável. Codificação "Run Lenght" -
coeficiente. Dá preferência a certos coeficientes. Usa palavra certa para valores manda uma única palavra de
A redução pode diferir para cada coeficiente. mais freqüentes (como código Morse). código em fugas de seqüência zero,

74 I SABER ElETRÔNICA ~01 I Junho 2006


interpolação vertical é necessário, sendo
realizado conforme ilustra a figura 8. N Q9 X Q9 xlC X X Q9 X X X Q9 X X X
As amostragens
posicionadas
de croma 4:2:0 são
a meio caminho das amos-
:~X 1Q9 xlC X X Q9 X X X '< X X X
tragens de luminância, no eixo vertical, de Q9 X Q9 X IC X X Q9 X X X "
'< X X X
tal forma de que elas sejam igualmente ® X 1Q9 X IC 9X X Q9 X X X ® X X X
espaçadas quando uma fonte entrelaçada 4:2:2 Rec 601 4:1 :1
for usada.

X Amostra de luminãncia Y

Codificação Temporal
o Amostra de crominãncia Cb, c,
A redundância temporal pode ser
4:2:0
separada por intercodificação ou então
serem transmitidas as diferenças entre
as imagens. A figura 9 indica que o A compensação de movimento é espaçamentos vertical e horizontal das
intervalo de uma imagem combinado com usada para aumentar a similaridade. Na amostragens de cor são exatamente duas
uma subinformação pode computar as figura I I ilustramos o princípio de vezes o espaçamento da luminância.
diferenças entre as imagens. funcionamento desse processo. Um bloco único de amostragem de cor
A diferença de imagem é uma imagem Quando um objeto se move na tela DCT de 8 x 8 se estende pela mesma área
por si só e pode ser comprimida pelo da TY, ele pode aparecer em diferentes com quatro blocos 8 x 8 de luminância. Essa
codificador espacial, conforme descreve- lugares a cada imagem sucessiva, mas não é a menor área de imagem que pode ser
mos anteriormente. a decodificador muda muito sua própria aparência. deslocada por um vetor. Um macrobloco
reverte à codificação espacial e adiciona A imagem diferença pode ser reduzida contém quatro blocos de luminância, dois
a diferença de imagem para a imagem pela medida do movimento no codificador. blocos Cb edois blocos Cr.
anterior de modo a obter a imagem Essa informação é enviada ao codificador a avaliador de movimento opera
seguinte. na forma de um vetor. a decodificador usa comparando os dados de luminância de
Existem algumas desvantagens nesse esse veto r para deslocar parte da imagem duas imagens sucessivas. Um macrobloco
simples sistema. Em primeiro lugar como anterior para um novo local, obtendo-se na primeira imagem é usado como refe-
devem ser enviadas apenas diferenças, assim a nova imagem. rência.
é impossível começar a decodificação Um vetor que controla··o desloca-
depois do início da transmissão. mento de uma área inteira da imagem
Diferença
Uma solução para esse problema é conhecido como "rnacrobloco". a de imagem
consiste em seu utilizar um sistema que tamanho do macrobloco é determinado
não seja completamente diferencial. Na pela codificação DCT e pela estrutura da
figura 10 mostramos como isso pode subamostragem de cor. A flgura 12(a) Esta Retardo Imagem anterior
imagem de imagem
ser feito. exibe que, com um sistema 4:2:0, os
a sistema não transmite indefinida-
mente a diferença entre as imagens. De
tempos em tempos, a imagem completa é
enviada. Esse sistema é denominado "intra-
coded pictures" ou i-pictures, sendo obtido
'0'0·_1 '"'0""" 1
Diferença Diferença Diferença
~
Reinicia
por compressão espacial apenas. Se um erro
ocorre ou ainda uma mudança de canal,
é possível interromper a decodificação e
Ações:
começar de nodo na próxima imagem.
Parte do objeto em movimento 1. Computa o vetar movimento

Vetar 2. Desloca dados da imagem N


movimento usando o veto r para prever
Compensação de Movimento
a imagem N + 1

3. Compara a imagem real


a movimento reduz as similaridades
entre as imagens e aumenta a quantidade D com a prevista

de dados necessária para criar uma Imagem N Imagem N + 1 4. Envia o vetor e um erro
de previsão
imagem diferença.

Junho 2006 I SABER ELETRÔNICA 401 I 75


:~:::t<J__ 16

a) 4:2:0 tem 1/4 dos pontos de amostragem


16 [

de croma de Y
]:: f'vB Q~~;"í:Ql ~revelada

r-----++--,
Aárea

não está
no quadro N

o
E Quadro
~ (N + 1)
A área

16
LJ
16

4xY
revelada
está
no quadro

~----~--__~_~_~~
LJ Quadro
(N + 2)
N+2

o dobro de dados de croma de 4:2:0


pode ser uma imagem I ou outra imagem
P. Os dados da imagem P consistem em
A correlação entre a referência e a de macrobloco individual, uma imagem vetores descrevendo onde, na imagem
imagem seguinte é medida em todos os codificada bidrecionalmente pode obter anterior, cada macrobloco se encontrava,
modos de deslocamento possíveis com dados compensados em movimento de e coeficientes de transformada que
uma resolução de meio pixel em toda a uma imagem anterior ou posterior, ou descrevem a correção ou diferença que
faixa varrida. Quando a maior correlação mesmo usar uma média entre as duas. deve ser adicionada ao rnacrobloco,
é encontrada, essa correlação é assumida A codificação bidirecional reduz signi- Quando nenhum casamento apro-
para representar o movimento correto. ficativamente a quantidade de diferença priado pode ser encontrado em um
O vetor deslocamento tem uma de dados, implementando um certo grau macrobloco pela procura de compensação
componente vertical e uma componente de predição. de movimento, dados intra (intra data)
horizontal. Em um material típico de são enviados para codificar o macrobloco.
programa, um objeto que se move pode A imagens P exigem aproximadamente
se estender por um certo número de Imagens 1-. P- e B metade dos dados de uma imagem I.
macroblocos. As imagens B são previstas bidirecio-
Para o MPEG três tipos diferentes de nalmente em relação às imagens posterior
imagem são necessários para poderem e anterior, as quais podem ser imagens
Codifica~ão Bidirecional aceitar a codificação diferencial e bidirecio- , I" imagens B ou outra imagem P. Elas
nal, minimizando os erros de propagação. também contêm dados intracodificados
Quando um objeto se move, ele As imagens I ou l-pictures são imagens que proporcionam as correções necessá-
descobre o fundo revelando seus detalhes intracodificadas que não precisam de rias. Novamente, se não houver nenhum
em seu trajeto. O fundo revelado precisa informações adicionais. Elas precisam de casamento de macroblocos de imagens
de novos dados para ser transmitido, uma grande quantidade de dados quando anterior e posterior que se casem com a
porque não existem informações prévias comparadas a outros tipos de imagem, imagem atual, intradados serão enviados
sobre ele na imagem anterior enviada. e além disso não são freqüentemente para codificar o macrobloco.
O MPEG ajuda a minimizar esse pro- empregadas. A figura 14 mostra o conceito de
blema utilizando codificação bidirecional, Elas consistem primariamente de GOP. Ele apresenta a estrutura de imagens
que permite que informações sejam obtidas coeficientes de transformada e não 1-, P- e B- na seqüência. Geralmente
de imagens, antes e depois da imagem que têm nenhum vetor, As imagens I são a estrutura GOP repete-se através da
está sendo reproduzida. Se um fundo está decodificadas sem referência em relação seqüência, mas o comprimento GOP
sendo revelado, ele deverá estar presente a nenhuma outra imagem, o que significa e estrutura podem mudar a qualquer
na imagem seguinte e a informação poderá que pode-se mudar de canal sem que momento.
ser movida "para trás" no tempo para se ocorram erros de propagação. Não existem limites formais para o
criar parte da imagem anterior. As imagens P ou P-pictures possuem comprimento de uma GOp, mas para
A figura 13 demostra esse conceito uma previsão da imagem seguinte em rela- efeito de transmissão, o comprimento
de codificação bidirecional. Numa base ção à imagem anterior, que eventualmente típico é de 12 a 15 imagens.

76 I SABER ELETRÔNICA 401 I Junho 2006


Aquisição de Dados em Qualquer Barramento

• Ire c • c ~rtFlash • p
I ress • Co

·_ ......•-..:=..":.

Equipamentos suportados pelos sistemas operacionais mais populares:

Windows • Linux® OS • Mac OS X • PocketPC • Windows CE • Real-Time

Aprovado mundialmente por 6 milhões de canais de medição o diferencial de medição


da Nationallnstruments
• 95 das empresas de manufatura da Revista Fortune usam produtos Nationallnstruments
Exatidão
• 25,000 empresas confiam nos equipamentos de medição da Nationallnstruments
Melhore a exatidão com uma resolução de
• Novos equipamentos DAQ para Hi-Speed USB 2.0 e PCI Express 18-bits na entrada analógica e a exclusiva e
• Ampla gama de hardware de baixo custo, alta performance e portáteis inovadora tecnologia de auto-calibração.

• Compatível com centenas de sensores e tipos de sinais Produtividade do Software

• Software Datalogger incluso e pronto para utilizar com a maioria dos dispositivos Construa, teste e distribua aplicações de
medição com o software de medições e
• Ferramentas para vários ambientes de programação - Nationallnstruments LabVIEW, o driver de padrão industrial NI-DAQmx.
ANSI C, CH, C#, Visual Basic .NET
Suporte
Para a mais ampla variedade de hardware e software prontos para medição, Utilize nosso premiado suporte técnico via
escolha a Nationallnstruments. web, e-mail ou telefone.

Nationallnstruments Brasil
ni.brasil@ni.com • ni.com/brasil
~NATIONAL
© 2006 Nationallnstruments ccrooreeon. Todos os direitos reservados. CVI,Measurement Readv,NI- DAQ, Nationallnstruments, NI e ni.com são
~ INSTRUMENTS"
marcas registradas da Nationallnstruments. Unux® uma marca registrada para Unux Torvalds nos Estados Unidos e outros países. Os outros nomes de
produtos e das empresas mencionadas são marcas registra das e nomes comerciais das respectivas empresas. 5550-301-101
Há dois tipos de GOP: aberto e
fechado. Um GOP fechado não exige
nenhuma referência fora dele. Na ordem
I B BP B B P B B I B B P
de apresentação, ele pode começar
com uma imagem I e terminar com uma
imagem P.
Na ordem de transmissão normal-
mente temos imagens B seguindo a última
\~\(( \\ Referência temporal
1 2

imagem P, mas as imagens que serão r------í--=--í--T-i----r-r---r----l--T--í---.:~r_;~__, Corrente


L- __ --''--_..J....::'-'-=-'--'-....L:....L:'-L._-'-_.L=.L.=:.-'----'--...L.:...L--' elementar
apresentadas antes serão as últimas P. É
possível começar e terminar um GOP
fechado com imagens B (na ordem de podem cruzar os contornos de qualquer Para criar uma imagem I, o final do
apresentação), mas nesse caso as imagens imagem. retardo de entrada é selecionado e o
B do começo e fim devem ser codifica- subtractor é desligado de tal forma que
das usando-se apenas uma direção de os dados passam diretamente através do
previsão. Um Compressor MPEG circuito para serem codificados espacial-
As imagens B do início de um GOP mente. A saída de dados do subtractor
fechado devem ser previstas apenas para a Na figura 15 temos o diagrama de passam então para um armazenador de
frente, e as do final apenas para trás. blocos que representa a estrutura de um frame que pode manusear diversas ima-
Um GOP aberto não tem essas restri- compensado r de movimento direcional gens. A imagem I é mantida armazenada.
ções em relação aos vetores de predição. típico. Para codificar uma imagem P, as imagens B
Por exemplo, as imagens B no final de um O vídeo pré-processado entra no no buffer de entrada são passadas adiante
GOP podem ser utilizadas para predição circuito com uma série de (rames que de tal forma que a imagem futura possa
da última imagem P, e a previsão para trás são armazenados e passados adiante para ser selecionada, veja a figura 16.
da primeira imagem I do GOP seguinte que sua ordem possa ser alterada. Os O avaliador de movimento compara
pode ser usada. Essa estrutura é sensivel- dados entram então no subtractor e no a imagem I no armazenamento de saída
mente mais eficiente, mas as predições avaliador de movimento. com a imagem P no armazenamento de

Retardo do s quadros gravados Tabelas de quant ização


.....-- ,.--- .. Controle de velo cidade
Norm

o......-
-+
~nt Erro de
predição
, para trás
Desabilitação para IP

O~'--'

Reorder
l Subtração
1 Codificador
espacial
~

Detecção
Erra1ele OTj .tRC adiante
pre. içao e atrás
adiante Codificado r
-+
espacial
~Subtrai
Imagem Saíd a de
Previsor dados es paciais
Previsor
para trás I
adiante ~ ~I
(anterior)

r
I
I
Vetores Vetores I
I
adiante para trás I
~I

~
{)~
Saíd a de
Imagem Estimadord e I+- veto res
anterior H~ - Futuro
movimento

f
.,.
Imagem
conJole
atual ---..
Decodificado de GOP Decodificador

Imag ens -I
(áreas sombreada s não são usadas) '" '" t

78 I SABER ElETRÔNICA 401 I Junho 2006


entrada de modo a criar os vetores de Pré-processa~ento também são amostrados para produzir
movimento. uma imagem de entrada ou 9F (common
Os macroblocos de imagem I são Um compressor tem por finalidade image format) que tem apenas 352 pixels
deslocados por esses vetores para fazer eliminar a redundância na imagem e entre de largura.
uma imagem P.A imagem P prevista é imagens. Qualquer coisa que reduz a
subtraída da imagem P atual de modo redundância aparente, ou seja, o conteúdo
a produzir o erro de predição, que é da imagem, é indesejável. Wavelets
espacialmente codificado e enviado com Ruído e granulação dos filmes são
os vetores. especialmente problemáticos porque Todas as transformadas sofrem com
O armazenamento de saída contém ocorrem em toda a extensão de uma o problema da incerteza porque, por
então uma imagem Ie uma imagem P. Uma imagem. Depois do processo DCT, o mais preciso que seja o conhecimento
imagem B do buffer de entrada pode ser ruído resulta em mais coeficientes que do domínio de freqüência, menos preci-
agora selecionada. O compensador de sejam não nulos, e o codificador não pode samente será conhecido o domínio de
movimento vai comparar a imagem B com distinguir essa informação da verdadeira tempo (e vice-versa). Na maioria das
as imagens Ique a predece e P que a segue, informação que corresponde à imagem. transformadas, como a discreta de Fourier
de modo a obter os vetores bidirecionais, Uma quantização pesada será então (DFT), e a discreta de cosseno (DCT),
conforme mostra a figura 17. necessária para codificar todos os coe- o comprimenro do bloco é fixado de
A compensação para a frente e para ficientes, reduzindo assim a qualidade tal forma que a resolução de tempo e
trás do movimento é realizada de modo da imagem. O ruído também reduz as freqüência também são fixas.
a produzir duas imagens B previstas. Essas semelhanças entre as imagens sucessivas, Os coeficientes de freqüência repre-
são subtraídas da imagem atual B. Em uma aumentando dessa forma os dados dessa sentam valores igualmente espaçados
base de macrobloco para macrobloco, os diferença que precisam ser enviados. numa escala linear. Infelizmente, como
dados adiante e anteriores são selecionados Na maioria das aplicações do MPEG-2 os sentidos humanos são logarítmicos,
de acordo com os que representam as o processo de amostragem 4:2:0 é o a escala completa de uma DCT ou DFT
menores diferenças. As diferenças entre usado, exigindo um processo de baixa fornece urna resolução de freqüência
as imagens são, então, espacialmente amostragem de croma se a fonte de sinal é inadequada num extremo, com excesso
codificadas e enviadas com os vetores. 4:2:2. No MPEG-I, a luminância e o croma de resolução no outro.

-Q ~ ~
Retardo dos quadros gravados r-------+ Tabelas de quantização

Controle de velocidade

IN _~ _
~ ~ _I
~ nJ•• ~
OI~
---'íO
o

lr-----.,paI
Erro de
predição

trásr-C-O-d
•••
ifi'-ca
....•
d•••
o..,r
Desabilitação para IP

~
Retrder Subtração espacial I---r--+I
Detecção
ErrQ ele adiante
.prediçâo
e atrás
~ssa (I adiante Codificador
-. Subtr~ I-----+-----~, espacial Hir+L-r----1
T
Previsor
Imagem
P~~r
1 ~
Saída de
dados espaciais

adiante
para trás I+- ~---(:J I ~
(anterior) "V :
I

Vetores Vetores :
adiante para trás I

~--~----4---4--~:
~---+- __
Saída de
Imagem H~ Estimadordel+-_ vetores
Futuro
anterior movimento

T t
Controle
Imagem
atual -+I
T I
ecodificador de GOP .lDecodificadorl'+--t-----i

(áreas sombreadas ~~~.t=.~==========~===========tÍ=======~--~


não são usadas)
Imagens-P

Junho 2006 I SABER ELETRÔNICA ~()1 I 79


IN-QG-nt
Retardo dos quadros g ravados Tabelas de quant ização
- Controle de velo cidade
Norm
Erro de
predição Desabilitação para IP
para trás
o~"V
l Subtração
'1· Codificador
~
Reorder espacial
Detecção
Erro de OTj "'RC adiante
predição e atrás
adiante Codificador
-+ espacial
~Subtrai
Imagem Saíd a de
Previsor dados e spaciais
Previsor ~
para trás -O
~

I
adiante
(anterior)
Vetores Vetores
adiante para trás
.n
...•. "'"
\.J~
Saíd a de
Imagem Estimador de veto res
~~ +- - Futuro ,
anterior movimento

T t Imagem T
Controle
Decodificador atual ~ D eco dTIlca d or
deGOP

Imagens - B
.,. .,.
(áreas sombreadas não são usadas)
T

Transformada
Tabelas de Dados Sintáticos FFT de "ondinha"
equalização
Dados r-:::-,,:,~"""'-=----'
Codificador
IN bidirecional espaciais .'---=-;::=:==:
(fig.18) Vetores de
movimento

Controle de velocidade

A transfomada de "ondinha" (wave/et) Para a codificação de vídeo,as ondinhas


não é afetada por esse problema, pois sua
resolução de freqüência é uma fração fixa
de uma oitava e então tem características
têm a vantagem de produzir sinais de
resolução escalável sem nenhum esforço
extra. No vídeo em movimento as vanta-
~
Janela de
. Número de
ciclos
logarítmicas. Isso é obtido mudando o gens das ondinhas podem ser um pouco tamanho constante
na FFT constante na
comprimento do bloco em função da diminuídas, dada a maior dificuldade em
função-base
freqüência. Quando a freqüência diminui, se identificar vetores de movimento em
o bloco se torna mais longo. blocos de comprimento variável.
Assim, uma característica da transfor- dos dados enviados. Vimos também os
mada de ondinha é que todas as funções- eventuais problemas que podem ocorrer
base contém o mesmo número de ciclos, Conclusão em alguns casos e como eles são solucio-
e esses ciclos são simplesmente escalados nados.
ao longo do eixo do tempo para diferentes Vimos neste artigo que existem três Em um próximo artigo desta série
freqüências. A figura 19 compara os tipos de imagens que são usadas de analisaremos a codificação dos sons e
tamanhos dos blocos DFT/DCT com os diversas formas no MPEG para se obter quais são os padrões MPEG que existem,
blocos variáveis das ondinhas. a possibilidade de uma compressão assim como sua aplicação na TV digital. E

80 I SA8ER ELETRÔNICA 401 I Junho 2006


... •• ' ..
• • ••
. .. ...
. ..
.. .

TECNOLOGIA
..
..

VELOCiDADE

Tecnologia com responsabilidade

~I

Você também pode gostar